Merge remote-tracking branch 'origin/eddie/muxpack' into xc7mux_wip
authorEddie Hung <eddie@fpgeh.com>
Fri, 21 Jun 2019 21:54:24 +0000 (14:54 -0700)
committerEddie Hung <eddie@fpgeh.com>
Fri, 21 Jun 2019 21:54:24 +0000 (14:54 -0700)
1  2 
CHANGELOG

diff --cc CHANGELOG
index 1ab1bc4f20ac69b806fbfd0153f53055be92b741,db7e14957ee86240b94a1bb7b4823f880a4738da..24c81be6ee1c27eaaa06abdc67cbf8c77ac5f7a4
+++ b/CHANGELOG
@@@ -17,15 -17,8 +17,16 @@@ Yosys 0.8 .. Yosys 0.8-de
      - Added "rename -src"
      - Added "equiv_opt" pass
      - Added "read_aiger" frontend
 -    - "synth_xilinx" to now infer hard shift registers, using new "shregmap -tech xilinx"
 +    - Added "shregmap -tech xilinx"
 +    - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
 +    - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
 +    - Added "synth_xilinx -abc9" (experimental)
 +    - Added "synth_ice40 -abc9" (experimental)
 +    - Extended "muxcover -mux{4,8,16}=<cost>"
 +    - Fixed sign extension of unsized constants with 'bx and 'bz MSB
 +    - Added "synth -abc9" (experimental)
+     - Added "muxpack" pass
 +    - "synth_xilinx" to now infer wide multiplexers (-nomux to disable)
  
  
  Yosys 0.7 .. Yosys 0.8