Add regression test for #2824.
authorMarcelina Koƛcielnicka <mwk@0x04.net>
Fri, 11 Jun 2021 10:19:21 +0000 (12:19 +0200)
committergatecat <gatecat@ds0.me>
Fri, 11 Jun 2021 11:06:35 +0000 (12:06 +0100)
tests/opt/bug2824.ys [new file with mode: 0644]

diff --git a/tests/opt/bug2824.ys b/tests/opt/bug2824.ys
new file mode 100644 (file)
index 0000000..9d0d1e9
--- /dev/null
@@ -0,0 +1,7 @@
+read_verilog -icells << EOT
+module top(input I, output O);
+$pmux #(.WIDTH(1), .S_WIDTH(2)) m (.S({I, 1'b0}), .A(1'b0), .B({I, 1'b0}), .Y(O));
+endmodule
+EOT
+
+equiv_opt -assert opt_muxtree