Merge remote-tracking branch 'origin/xc7srl' into xc7mux
authorEddie Hung <eddie@fpgeh.com>
Mon, 22 Apr 2019 18:45:49 +0000 (11:45 -0700)
committerEddie Hung <eddie@fpgeh.com>
Mon, 22 Apr 2019 18:45:49 +0000 (11:45 -0700)
1  2 
kernel/rtlil.h
passes/techmap/Makefile.inc
techlibs/xilinx/cells_map.v
techlibs/xilinx/synth_xilinx.cc
tests/tools/autotest.sh

diff --cc kernel/rtlil.h
Simple merge
Simple merge
index 2981f89f6b826833db29b6119d8cf860dc07ff92,704ab21b1b26fe14716012ea78113545fc933285..3c4d8f4cd877164ae4f02ee78ca3ed88c4450d88
   *
   */
  
 -`ifndef SRL_ONLY
 -`endif
+ module \$__SHREG_ (input C, input D, input E, output Q);
+   parameter DEPTH = 0;
+   parameter [DEPTH-1:0] INIT = 0;
+   parameter CLKPOL = 1;
+   parameter ENPOL = 2;
+   \$__XILINX_SHREG_ #(.DEPTH(DEPTH), .INIT(INIT), .CLKPOL(CLKPOL), .ENPOL(ENPOL)) _TECHMAP_REPLACE_ (.C(C), .D(D), .L(DEPTH-1), .E(E), .Q(Q));
+ endmodule
+ module \$__XILINX_SHREG_ (input C, input D, input [31:0] L, input E, output Q, output SO);
+   parameter DEPTH = 0;
+   parameter [DEPTH-1:0] INIT = 0;
+   parameter CLKPOL = 1;
+   parameter ENPOL = 2;
+   // shregmap's INIT parameter shifts out LSB first;
+   // however Xilinx expects MSB first
+   function [DEPTH-1:0] brev;
+     input [DEPTH-1:0] din;
+     integer i;
+     begin
+       for (i = 0; i < DEPTH; i=i+1)
+         brev[i] = din[DEPTH-1-i];
+     end
+   endfunction
+   localparam [DEPTH-1:0] INIT_R = brev(INIT);
+   parameter _TECHMAP_CONSTMSK_L_ = 0;
+   parameter _TECHMAP_CONSTVAL_L_ = 0;
+   wire CE;
+   generate
+     if (ENPOL == 0)
+       assign CE = ~E;
+     else if (ENPOL == 1)
+       assign CE = E;
+     else
+       assign CE = 1'b1;
+     if (DEPTH == 1) begin
+       if (CLKPOL)
+           FDRE #(.INIT(INIT_R)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(CE), .R(1'b0));
+       else
+           FDRE_1 #(.INIT(INIT_R)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(CE), .R(1'b0));
+     end else
+     if (DEPTH <= 16) begin
+       SRL16E #(.INIT(INIT_R), .IS_CLK_INVERTED(~CLKPOL[0])) _TECHMAP_REPLACE_ (.A0(L[0]), .A1(L[1]), .A2(L[2]), .A3(L[3]), .CE(CE), .CLK(C), .D(D), .Q(Q));
+     end else
+     if (DEPTH > 17 && DEPTH <= 32) begin
+       SRLC32E #(.INIT(INIT_R), .IS_CLK_INVERTED(~CLKPOL[0])) _TECHMAP_REPLACE_ (.A(L[4:0]), .CE(CE), .CLK(C), .D(D), .Q(Q));
+     end else
+     if (DEPTH > 33 && DEPTH <= 64) begin
+       wire T0, T1, T2;
+       SRLC32E #(.INIT(INIT_R[32-1:0]), .IS_CLK_INVERTED(~CLKPOL[0])) fpga_srl_0 (.A(L[4:0]), .CE(CE), .CLK(C), .D(D), .Q(T0), .Q31(T1));
+       \$__XILINX_SHREG_ #(.DEPTH(DEPTH-32), .INIT(INIT[DEPTH-32-1:0]), .CLKPOL(CLKPOL), .ENPOL(ENPOL)) fpga_srl_1 (.C(C), .D(T1), .L(L), .E(E), .Q(T2));
+       if (&_TECHMAP_CONSTMSK_L_)
+         assign Q = T2;
+       else
+         MUXF7 fpga_mux_0 (.O(Q), .I0(T0), .I1(T2), .S(L[5]));
+     end else
+     if (DEPTH > 65 && DEPTH <= 96) begin
+       wire T0, T1, T2, T3, T4, T5, T6;
+       SRLC32E #(.INIT(INIT_R[32-1:0]), .IS_CLK_INVERTED(~CLKPOL[0])) fpga_srl_0 (.A(L[4:0]), .CE(CE), .CLK(C), .D(D), .Q(T0), .Q31(T1));
+       SRLC32E #(.INIT(INIT_R[64-1:32]), .IS_CLK_INVERTED(~CLKPOL[0])) fpga_srl_1 (.A(L[4:0]), .CE(CE), .CLK(C), .D(T1), .Q(T2), .Q31(T3));
+       \$__XILINX_SHREG_ #(.DEPTH(DEPTH-64), .INIT(INIT[DEPTH-64-1:0]), .CLKPOL(CLKPOL), .ENPOL(ENPOL)) fpga_srl_2 (.C(C), .D(T3), .L(L[4:0]), .E(E), .Q(T4));
+       if (&_TECHMAP_CONSTMSK_L_)
+         assign Q = T4;
+       else begin
+         MUXF7 fpga_mux_0 (.O(T5), .I0(T0), .I1(T2), .S(L[5]));
+         MUXF7 fpga_mux_1 (.O(T6), .I0(T4), .I1(1'b0 /* unused */), .S(L[5]));
+         MUXF8 fpga_mux_2 (.O(Q), .I0(T5), .I1(T6), .S(L[6]));
+       end
+     end else
+     if (DEPTH > 97 && DEPTH < 128) begin
+       wire T0, T1, T2, T3, T4, T5, T6, T7, T8;
+       SRLC32E #(.INIT(INIT_R[32-1:0]), .IS_CLK_INVERTED(~CLKPOL[0])) fpga_srl_0 (.A(L[4:0]), .CE(CE), .CLK(C), .D(D), .Q(T0), .Q31(T1));
+       SRLC32E #(.INIT(INIT_R[64-1:32]), .IS_CLK_INVERTED(~CLKPOL[0])) fpga_srl_1 (.A(L[4:0]), .CE(CE), .CLK(C), .D(T1), .Q(T2), .Q31(T3));
+       SRLC32E #(.INIT(INIT_R[96-1:64]), .IS_CLK_INVERTED(~CLKPOL[0])) fpga_srl_2 (.A(L[4:0]), .CE(CE), .CLK(C), .D(T3), .Q(T4), .Q31(T5));
+       \$__XILINX_SHREG_ #(.DEPTH(DEPTH-96), .INIT(INIT[DEPTH-96-1:0]), .CLKPOL(CLKPOL), .ENPOL(ENPOL)) fpga_srl_3 (.C(C), .D(T5), .L(L[4:0]), .E(E), .Q(T6));
+       if (&_TECHMAP_CONSTMSK_L_)
+         assign Q = T6;
+       else begin
+         MUXF7 fpga_mux_0 (.O(T7), .I0(T0), .I1(T2), .S(L[5]));
+         MUXF7 fpga_mux_1 (.O(T8), .I0(T4), .I1(T6), .S(L[5]));
+         MUXF8 fpga_mux_2 (.O(Q), .I0(T7), .I1(T8), .S(L[6]));
+       end
+     end
+     else if (DEPTH == 128) begin
+       wire T0, T1, T2, T3, T4, T5, T6;
+       SRLC32E #(.INIT(INIT_R[32-1:0]), .IS_CLK_INVERTED(~CLKPOL[0])) fpga_srl_0 (.A(L[4:0]), .CE(CE), .CLK(C), .D(D), .Q(T0), .Q31(T1));
+       SRLC32E #(.INIT(INIT_R[64-1:32]), .IS_CLK_INVERTED(~CLKPOL[0])) fpga_srl_1 (.A(L[4:0]), .CE(CE), .CLK(C), .D(T1), .Q(T2), .Q31(T3));
+       SRLC32E #(.INIT(INIT_R[96-1:64]), .IS_CLK_INVERTED(~CLKPOL[0])) fpga_srl_2 (.A(L[4:0]), .CE(CE), .CLK(C), .D(T3), .Q(T4), .Q31(T5));
+       SRLC32E #(.INIT(INIT_R[128-1:96]), .IS_CLK_INVERTED(~CLKPOL[0])) fpga_srl_3 (.A(L[4:0]), .CE(CE), .CLK(C), .D(T5), .Q(T6), .Q31(SO));
+       if (&_TECHMAP_CONSTMSK_L_)
+         assign Q = T6;
+       else begin
+         wire T7, T8;
+         MUXF7 fpga_mux_0 (.O(T7), .I0(T0), .I1(T2), .S(L[5]));
+         MUXF7 fpga_mux_1 (.O(T8), .I0(T4), .I1(T6), .S(L[5]));
+         MUXF8 fpga_mux_2 (.O(Q), .I0(T7), .I1(T8), .S(L[6]));
+       end
+     end
+     else if (DEPTH <= 129 && ~&_TECHMAP_CONSTMSK_L_) begin
+       // Handle cases where fixed-length depth is
+       // just 1 over a convenient value
+       \$__XILINX_SHREG_ #(.DEPTH(DEPTH+1), .INIT({INIT,1'b0}), .CLKPOL(CLKPOL), .ENPOL(ENPOL)) _TECHMAP_REPLACE_ (.C(C), .D(D), .L(L), .E(E), .Q(Q));
+     end
+     else begin
+       localparam lower_clog2 = $clog2((DEPTH+1)/2);
+       localparam lower_depth = 2 ** lower_clog2;
+       wire T0, T1, T2, T3;
+       if (&_TECHMAP_CONSTMSK_L_) begin
+         \$__XILINX_SHREG_ #(.DEPTH(lower_depth), .INIT(INIT[DEPTH-1:DEPTH-lower_depth]), .CLKPOL(CLKPOL), .ENPOL(ENPOL)) fpga_srl_0 (.C(C), .D(D), .L(lower_depth-1), .E(E), .Q(T0));
+         \$__XILINX_SHREG_ #(.DEPTH(DEPTH-lower_depth), .INIT(INIT[DEPTH-lower_depth-1:0]), .CLKPOL(CLKPOL), .ENPOL(ENPOL)) fpga_srl_1 (.C(C), .D(T0), .L(DEPTH-lower_depth-1), .E(E), .Q(Q), .SO(T3));
+       end
+       else begin
+         \$__XILINX_SHREG_ #(.DEPTH(lower_depth), .INIT(INIT[DEPTH-1:DEPTH-lower_depth]), .CLKPOL(CLKPOL), .ENPOL(ENPOL)) fpga_srl_0 (.C(C), .D(D), .L(L[lower_clog2-1:0]), .E(E), .Q(T0), .SO(T1));
+         \$__XILINX_SHREG_ #(.DEPTH(DEPTH-lower_depth), .INIT(INIT[DEPTH-lower_depth-1:0]), .CLKPOL(CLKPOL), .ENPOL(ENPOL)) fpga_srl_1 (.C(C), .D(T1), .L(L[lower_clog2-1:0]), .E(E), .Q(T2), .SO(T3));
+         assign Q = L[lower_clog2] ? T2 : T0;
+       end
+       if (DEPTH == 2 * lower_depth)
+           assign SO = T3;
+     end
+   endgenerate
+ endmodule
 +module \$shiftx (A, B, Y);
 +  parameter A_SIGNED = 0;
 +  parameter B_SIGNED = 0;
 +  parameter A_WIDTH = 1;
 +  parameter B_WIDTH = 1;
 +  parameter Y_WIDTH = 1;
 +
 +  input [A_WIDTH-1:0] A;
 +  input [B_WIDTH-1:0] B;
 +  output [Y_WIDTH-1:0] Y;
 +
 +  parameter [B_WIDTH-1:0] _TECHMAP_CONSTMSK_B_ = 0;
 +  parameter [B_WIDTH-1:0] _TECHMAP_CONSTVAL_B_ = 0;
 +
 +  generate
 +    genvar i, j;
 +    if (B_SIGNED) begin
 +      if (_TECHMAP_CONSTMSK_B_[B_WIDTH-1] && _TECHMAP_CONSTVAL_B_[B_WIDTH-1] == 1'b0)
 +        // Optimisation to remove B_SIGNED if sign bit of B is constant-0
 +        \$shiftx  #(.A_SIGNED(A_SIGNED), .B_SIGNED(0), .A_WIDTH(A_WIDTH), .B_WIDTH(B_WIDTH-1), .Y_WIDTH(Y_WIDTH)) _TECHMAP_REPLACE_ (.A(A), .B(B[B_WIDTH-2:0]), .Y(Y));
 +      else
 +        wire _TECHMAP_FAIL_ = 1;
 +    end
 +    else if (Y_WIDTH > 1) begin
 +      wire [$clog2(A_WIDTH/Y_WIDTH)-1:0] B_bitty = B/Y_WIDTH;
 +      for (i = 0; i < Y_WIDTH; i++) begin
 +        wire [A_WIDTH/Y_WIDTH-1:0] A_i;
 +        for (j = 0; j < A_WIDTH/Y_WIDTH; j++)
 +          assign A_i[j] = A[j*Y_WIDTH+i];
 +        \$shiftx  #(.A_SIGNED(A_SIGNED), .B_SIGNED(B_SIGNED), .A_WIDTH(A_WIDTH/Y_WIDTH), .B_WIDTH($clog2(A_WIDTH/Y_WIDTH)), .Y_WIDTH(1)) bitblast (.A(A_i), .B(B_bitty), .Y(Y[i]));
 +      end
 +    end
 +    else if (B_WIDTH < 3) begin
 +      wire _TECHMAP_FAIL_ = 1;
 +    end
 +    else if (B_WIDTH == 3) begin
 +      localparam a_width0 = 2 ** 2;
 +      localparam a_widthN = A_WIDTH - a_width0;
 +      wire T0, T1;
 +      \$shiftx  #(.A_SIGNED(A_SIGNED), .B_SIGNED(B_SIGNED), .A_WIDTH(a_width0), .B_WIDTH(2),                .Y_WIDTH(Y_WIDTH)) fpga_shiftx      (.A(A[a_width0-1:0]),       .B(B[2-1:0]),                .Y(T0));
 +      \$shiftx  #(.A_SIGNED(A_SIGNED), .B_SIGNED(B_SIGNED), .A_WIDTH(a_widthN), .B_WIDTH($clog2(a_widthN)), .Y_WIDTH(Y_WIDTH)) fpga_shiftx_last (.A(A[A_WIDTH-1:a_width0]), .B(B[$clog2(a_widthN)-1:0]), .Y(T1));
 +      MUXF7 fpga_mux (.I0(T0), .I1(T1), .S(B[B_WIDTH-1]), .O(Y));
 +    end
 +    else if (B_WIDTH == 4) begin
 +      localparam a_width0 = 2 ** 2;
 +      localparam num_mux8 = A_WIDTH / a_width0;
 +      localparam a_widthN = A_WIDTH - num_mux8*a_width0;
 +      wire [4-1:0] T;
 +      wire T0, T1;
 +      for (i = 0; i < 4; i++)
 +        if (i < num_mux8)
 +          \$shiftx  #(.A_SIGNED(A_SIGNED), .B_SIGNED(B_SIGNED), .A_WIDTH(a_width0), .B_WIDTH(2),                .Y_WIDTH(Y_WIDTH)) fpga_shiftx      (.A(A[i*a_width0+:a_width0]), .B(B[2-1:0]),                .Y(T[i]));
 +        else if (i == num_mux8 && a_widthN > 0)
 +          \$shiftx  #(.A_SIGNED(A_SIGNED), .B_SIGNED(B_SIGNED), .A_WIDTH(a_widthN), .B_WIDTH($clog2(a_widthN)), .Y_WIDTH(Y_WIDTH)) fpga_shiftx_last (.A(A[A_WIDTH-1:i*a_width0]), .B(B[$clog2(a_widthN)-1:0]), .Y(T[i]));
 +        else
 +          assign T[i] = 1'bx;
 +      MUXF7 fpga_mux_0 (.I0(T[0]), .I1(T[1]), .S(B[2]), .O(T0));
 +      MUXF7 fpga_mux_1 (.I0(T[2]), .I1(T[3]), .S(B[2]), .O(T1));
 +      MUXF8 fpga_mux_2 (.I0(T0),   .I1(T1),   .S(B[3]), .O(Y));
 +    end
 +    else begin
 +      localparam a_width0 = 2 ** 4;
 +      localparam num_mux16 = A_WIDTH / a_width0;
 +      localparam a_widthN = A_WIDTH - num_mux16*a_width0;
 +      wire [(2**(B_WIDTH-4))-1:0] T;
 +      for (i = 0; i < 2 ** (B_WIDTH-4); i++)
 +        if (i < num_mux16)
 +          \$shiftx  #(.A_SIGNED(A_SIGNED), .B_SIGNED(B_SIGNED), .A_WIDTH(a_width0), .B_WIDTH(4),                .Y_WIDTH(Y_WIDTH)) fpga_shiftx      (.A(A[i*a_width0+:a_width0]), .B(B[4-1:0]),                .Y(T[i]));
 +        else if (i == num_mux16 && a_widthN > 0) begin
 +          \$shiftx  #(.A_SIGNED(A_SIGNED), .B_SIGNED(B_SIGNED), .A_WIDTH(a_widthN), .B_WIDTH($clog2(a_widthN)), .Y_WIDTH(Y_WIDTH)) fpga_shiftx_last (.A(A[A_WIDTH-1:i*a_width0]), .B(B[$clog2(a_widthN)-1:0]), .Y(T[i]));
 +        end
 +        else
 +          assign T[i] = 1'bx;
 +      \$shiftx  #(.A_SIGNED(A_SIGNED), .B_SIGNED(B_SIGNED), .A_WIDTH(2**(B_WIDTH-4)), .B_WIDTH(B_WIDTH-4), .Y_WIDTH(Y_WIDTH)) fpga_shiftx (.A(T), .B(B[B_WIDTH-1:4]), .Y(Y));
 +    end
 +  endgenerate
 +endmodule
index d5e9b80c8caa1613c6f8c92733ae3aa33df5769c,53eee79627b2358e59abeeea46732fe680c328fa..fa87a3ad623070b7bd7360cd46c3e488e9113b91
@@@ -117,16 -116,23 +119,22 @@@ struct SynthXilinxPass : public Pas
                log("        opt -fast\n");
                log("\n");
                log("    map_cells:\n");
+               log("        simplemap t:$dff t:$dffe (without '-nosrl' only)\n");
+               log("        pmux2shiftx (without '-nosrl' only)\n");
+               log("        opt_expr -mux_undef (without '-nosrl' only)\n");
+               log("        shregmap -tech xilinx -minlen 3 (without '-nosrl' only)\n");
                log("        techmap -map +/xilinx/cells_map.v\n");
-               log("        opt -fast\n");
+               log("        clean\n");
                log("\n");
                log("    map_luts:\n");
-               log("        techmap -map +/techmap.v\n");
+               log("        opt -full\n");
+               log("        techmap -map +/techmap.v -D _NO_POS_SR -map +/xilinx/ff_map.v\n");
                log("        abc -luts 2:2,3,6:5,10,20 [-dff]\n");
                log("        clean\n");
-               log("        techmap -map +/xilinx/lut_map.v -map +/xilinx/ff_map.v\n");
+               log("        shregmap -minlen 3 -init -params -enpol any_or_none (without '-nosrl' only)\n");
+               log("        techmap -map +/xilinx/lut_map.v -map +/xilinx/ff_map.v -map +/xilinx/cells_map.v");
                log("        dffinit -ff FDRE   Q INIT -ff FDCE   Q INIT -ff FDPE   Q INIT -ff FDSE   Q INIT \\\n");
                log("                -ff FDRE_1 Q INIT -ff FDCE_1 Q INIT -ff FDPE_1 Q INIT -ff FDSE_1 Q INIT\n");
 -              log("        clean\n");
                log("\n");
                log("    check:\n");
                log("        hierarchy -check\n");
                                nodram = true;
                                continue;
                        }
+                       if (args[argidx] == "-nosrl") {
+                               nosrl = true;
++                continue;
++            }
 +                      if (args[argidx] == "-abc9") {
 +                              abc = "abc9";
                                continue;
                        }
                        break;
                if (check_label(active, run_from, run_to, "map_luts"))
                {
                        Pass::call(design, "opt -full");
 -                      Pass::call(design, "abc -luts 2:2,3,6:5,10,20" + string(retime ? " -dff" : ""));
+                       Pass::call(design, "techmap -map +/techmap.v -D _NO_POS_SR -map +/xilinx/ff_map.v");
-                       Pass::call(design, "techmap -map +/techmap.v");
 +                      Pass::call(design, "read_verilog +/xilinx/cells_box.v");
 +                      if (abc == "abc9")
 +                              Pass::call(design, abc + " -lut +/xilinx/cells.lut -box +/xilinx/cells.box" + string(retime ? " -dff" : ""));
 +                      else
 +                              Pass::call(design, abc + " -luts 2:2,3,6:5,10,20" + string(retime ? " -dff" : ""));
                        Pass::call(design, "clean");
-                       Pass::call(design, "techmap -map +/xilinx/lut_map.v -map +/xilinx/ff_map.v");
+                       // This shregmap call infers fixed length shift registers after abc
+                       //   has performed any necessary retiming
+                       if (!nosrl)
+                               Pass::call(design, "shregmap -minlen 3 -init -params -enpol any_or_none");
+                       Pass::call(design, "techmap -map +/xilinx/lut_map.v -map +/xilinx/ff_map.v -map +/xilinx/cells_map.v");
                        Pass::call(design, "dffinit -ff FDRE Q INIT -ff FDCE Q INIT -ff FDPE Q INIT -ff FDSE Q INIT "
                                        "-ff FDRE_1 Q INIT -ff FDCE_1 Q INIT -ff FDPE_1 Q INIT -ff FDSE_1 Q INIT");
 -                      Pass::call(design, "clean");
                }
  
                if (check_label(active, run_from, run_to, "check"))
index 99768b0ec657b9e2a786d9a1da8e835310d97969,bb9c3bfb5f863c340aba134f8a2fbb67dd102bdf..86a90793e392c51986aa4b84064d23e71dcbea8c
@@@ -136,9 -137,8 +136,9 @@@ d
                        egrep -v '^\s*`timescale' ../$fn > ${bn}_ref.${ext}
                else
                        "$toolsdir"/../../yosys -f "$frontend $include_opts" -b "verilog" -o ${bn}_ref.v ../${fn}
-                       frontend="verilog"
+                       frontend="verilog -noblackbox"
                fi
 +              rm -f ${bn}_ref.fir
  
                if [ ! -f ../${bn}_tb.v ]; then
                        "$toolsdir"/../../yosys -f "$frontend $include_opts" -b "test_autotb $autotb_opts" -o ${bn}_tb.v ${bn}_ref.v