orangecrab: set HAS_SHORT_MULT
authorMatt Johnston <matt@codeconstruct.com.au>
Wed, 24 Nov 2021 08:47:16 +0000 (16:47 +0800)
committerMatt Johnston <matt@codeconstruct.com.au>
Mon, 17 Jan 2022 04:55:14 +0000 (12:55 +0800)
It seems free, generated as a single MULT18X18D

Signed-off-by: Matt Johnston <matt@codeconstruct.com.au>
fpga/top-orangecrab0.2.vhdl

index 1e02b23cbea4d2dd951c688b56832bef10013c0e..871dd3752372ecad6359fdeaf203ad9a5141cde5 100644 (file)
@@ -188,6 +188,7 @@ begin
             HAS_UART1          => HAS_UART1,
             HAS_SD_CARD        => USE_LITESDCARD,
             ICACHE_NUM_LINES   => ICACHE_NUM_LINES,
+            HAS_SHORT_MULT     => true,
             NGPIO              => NGPIO
             )
         port map (