Improvements in "make test"
authorClaire Wolf <claire@symbioticeda.com>
Fri, 24 Jul 2020 12:58:23 +0000 (14:58 +0200)
committerClaire Wolf <claire@symbioticeda.com>
Fri, 24 Jul 2020 12:58:23 +0000 (14:58 +0200)
Signed-off-by: Claire Wolf <claire@symbioticeda.com>
Makefile
docs/examples/puzzles/.gitignore

index cafcc3ff419502ff82425d45f3d6d22003b12e70..790170be8598d40a5452e32b42d20602fbb0147d 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -46,6 +46,9 @@ test: \
   test_puzzles_primegen_primegen test_puzzles_primegen_primes_pass test_puzzles_primegen_primes_fail \
   test_quickstart_demo test_quickstart_cover test_quickstart_prove test_quickstart_memory \
   run_tests
+       if yosys -qp 'read -verific' 2> /dev/null; then set -x; \
+               YOSYS_NOVERIFIC=1 $(MAKE) test; \
+       fi
 
 test_demo1:
        cd sbysrc && python3 sby.py -f demo1.sby
@@ -57,10 +60,14 @@ test_demo3:
        cd sbysrc && python3 sby.py -f demo3.sby
 
 test_abstract_abstr:
-       cd docs/examples/abstract && python3 ../../../sbysrc/sby.py -f abstr.sby
+       @if yosys -qp 'read -verific' 2> /dev/null; then set -x; \
+               cd docs/examples/abstract && python3 ../../../sbysrc/sby.py -f abstr.sby; \
+       else echo "skipping $@"; fi
 
 test_abstract_props:
-       cd docs/examples/abstract && python3 ../../../sbysrc/sby.py -f props.sby
+       if yosys -qp 'read -verific' 2> /dev/null; then set -x; \
+               cd docs/examples/abstract && python3 ../../../sbysrc/sby.py -f props.sby; \
+       else echo "skipping $@"; fi
 
 test_demos_fib_cover:
        cd docs/examples/demos && python3 ../../../sbysrc/sby.py -f fib.sby cover
@@ -105,11 +112,11 @@ test_quickstart_memory:
        cd docs/examples/quickstart && python3 ../../../sbysrc/sby.py -f memory.sby
 
 run_tests:
-       make -C tests test
+       $(MAKE) -C tests test
 
 html:
-       make -C docs html
+       $(MAKE) -C docs html
 
 clean:
-       make -C docs clean
+       $(MAKE) -C docs clean
        rm -rf docs/build sbysrc/sby sbysrc/__pycache__
index e14320c55680ffe061e98ea9507646eabafe6926..1913d395c9db81e4df672efe186a53d95ed98de2 100644 (file)
@@ -1,3 +1,4 @@
+/pour_853_to_4
 /wolf_goat_cabbage
 /primegen_primegen
 /primegen_primes_pass