Create GETTING STARTED
authorSadullah Canakci <sadullahcanakci@gmail.com>
Tue, 10 Mar 2020 20:47:26 +0000 (16:47 -0400)
committersadullah <sadullahcanakci@gmail.com>
Fri, 1 May 2020 20:20:35 +0000 (16:20 -0400)
Rename GETTING STARTED to GETTING STARTED.md

Update GETTING STARTED.md

Update GETTING STARTED.md

Update GETTING STARTED.md

litex/soc/cores/cpu/blackparrot/GETTING STARTED.md [new file with mode: 0644]

diff --git a/litex/soc/cores/cpu/blackparrot/GETTING STARTED.md b/litex/soc/cores/cpu/blackparrot/GETTING STARTED.md
new file mode 100644 (file)
index 0000000..47ea737
--- /dev/null
@@ -0,0 +1,23 @@
+# Getting started
+
+## Running BP in LiteX
+
+cd $LITEX/litex/tools  # the folder where litex simulator resides
+
+./litex_sim.py --cpu-type blackparrot --cpu-variant standard --integrated-rom-size 40960 --output-dir build/BP --threads 4 --opt-level=O0 --trace --trace-start 0
+
+#The above command will generate a dut.vcd file under build/BP/gateware folder. gtkwave works fine with the generated dut.vcd.
+
+## Additional Information
+
+The BlackParrot resides in $BP/pre-alpha-release/
+
+core.py in $BP folder is the wrapper that integrates BP into LiteX.
+
+flist.verilator in $BP is all the files that litex_sim fetches for simulation.
+
+The top module is $BP_FPGA_DIR/ExampleBlackParrotSystem.v
+
+The transducer for wishbone communication is $BP_FPGA_DIR/bp2wb_convertor.v
+
+