arty: Add switches and buttons to xdc file
authorMatt Johnston <matt@codeconstruct.com.au>
Thu, 18 Aug 2022 06:14:20 +0000 (14:14 +0800)
committerMatt Johnston <matt@codeconstruct.com.au>
Thu, 29 Sep 2022 07:26:03 +0000 (15:26 +0800)
Signed-off-by: Matt Johnston <matt@codeconstruct.com.au>
fpga/arty_a7.xdc

index 4db6aaba54715a5aeb1318e16418883a155478fa..5211d59ae87bbdafa029c03d0ce7f8a56b78fd90 100644 (file)
@@ -35,6 +35,24 @@ set_property -dict { PACKAGE_PIN J5  IOSTANDARD LVCMOS33 } [get_ports { led5 }];
 set_property -dict { PACKAGE_PIN T9  IOSTANDARD LVCMOS33 } [get_ports { led6 }];
 set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { led7 }];
 
+################################################################################
+# Switches
+################################################################################
+
+set_property -dict { PACKAGE_PIN A8  IOSTANDARD LVCMOS33 } [get_ports { sw0 }];
+set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { sw1 }];
+set_property -dict { PACKAGE_PIN C10 IOSTANDARD LVCMOS33 } [get_ports { sw2 }];
+set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { sw3 }];
+
+################################################################################
+# Buttons
+################################################################################
+
+set_property -dict { PACKAGE_PIN D9  IOSTANDARD LVCMOS33 } [get_ports { btn0 }];
+set_property -dict { PACKAGE_PIN C9  IOSTANDARD LVCMOS33 } [get_ports { btn1 }];
+set_property -dict { PACKAGE_PIN B9  IOSTANDARD LVCMOS33 } [get_ports { btn2 }];
+set_property -dict { PACKAGE_PIN B8  IOSTANDARD LVCMOS33 } [get_ports { btn3 }];
+
 ################################################################################
 # SPI Flash
 ################################################################################