vendor.lattice_{ice40,ecp5}: Support .il (RTLIL) files in extra_files
authorSylvain Munaut <tnt@246tNt.com>
Mon, 20 Jan 2020 08:30:49 +0000 (09:30 +0100)
committerwhitequark <whitequark@whitequark.org>
Fri, 31 Jan 2020 02:56:19 +0000 (02:56 +0000)
nmigen/vendor/lattice_ecp5.py
nmigen/vendor/lattice_ice40.py

index 058c3e2751ba81f2eb2894a7588c342df206bf33..e1961e3ab3fd219f46effaeecbe4d35b3454bc52 100644 (file)
@@ -115,6 +115,9 @@ class LatticeECP5Platform(TemplatedPlatform):
             {% for file in platform.iter_extra_files(".sv") -%}
                 read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
             {% endfor %}
+            {% for file in platform.iter_extra_files(".il") -%}
+                read_ilang {{file}}
+            {% endfor %}
             read_ilang {{name}}.il
             {{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
             synth_ecp5 {{get_override("synth_opts")|options}} -top {{name}}
index 183a79f5bdcc1e28ba05877919b6e3ec189cc146..370f750f81ceaa027cdbee234a46967313eca1b9 100644 (file)
@@ -120,6 +120,9 @@ class LatticeICE40Platform(TemplatedPlatform):
             {% for file in platform.iter_extra_files(".sv") -%}
                 read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
             {% endfor %}
+            {% for file in platform.iter_extra_files(".il") -%}
+                read_ilang {{file}}
+            {% endfor %}
             read_ilang {{name}}.il
             {{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
             synth_ice40 {{get_override("synth_opts")|options}} -top {{name}}