Fix primegen example
authorClaire Wolf <claire@symbioticeda.com>
Tue, 24 Mar 2020 16:12:12 +0000 (17:12 +0100)
committerClaire Wolf <claire@symbioticeda.com>
Tue, 24 Mar 2020 16:12:12 +0000 (17:12 +0100)
Signed-off-by: Claire Wolf <claire@symbioticeda.com>
docs/examples/puzzles/primegen.sby

index 9e6da21309bb922371ad2085e99eeaa0ac7cb277..20e5072d173467c698c7659ca6515fe5b9395b61 100644 (file)
@@ -12,6 +12,7 @@ primes_fail: expect fail
 smtbmc --dumpsmt2 --progress --stbv z3
 
 [script]
+read -noverific
 read -formal primegen.sv
 primes_fail: chparam -set offset 7 primes
 primegen: prep -top primegen