Improve documentation of scripts and Verific bindings
authorClifford Wolf <clifford@clifford.at>
Sat, 23 Jun 2018 16:25:52 +0000 (18:25 +0200)
committerClifford Wolf <clifford@clifford.at>
Sat, 23 Jun 2018 16:25:52 +0000 (18:25 +0200)
Signed-off-by: Clifford Wolf <clifford@clifford.at>
docs/source/reference.rst
docs/source/verific.rst
docs/source/verilog.rst

index 1a6191775310532facab82d06c71dc50b4af3b7b..9ef84c1d9e0ba4c4c3f2b1ca09aab7e69da35927 100644 (file)
@@ -95,10 +95,10 @@ combinations of some host implementations A and B and device implementations X a
    live: aiger suprove
 
    [script]
-   hostA: read_verilog hostA.v
-   hostB: read_verilog hostB.v
-   deviceX: read_verilog deviceX.v
-   deviceY: read_verilog deviceY.v
+   hostA: read -sv hostA.v
+   hostB: read -sv hostB.v
+   deviceX: read -sv deviceX.v
+   deviceY: read -sv deviceY.v
    ...
 
 The ``[tasks]`` section must appear in the ``.sby`` file before the first
@@ -286,10 +286,11 @@ design file ``mytest.sv`` with the top-module ``mytest``:
 .. code-block:: text
 
    [script]
-   read_verilog -sv mytest.sv
+   read -sv mytest.sv
    prep -top mytest
 
-Or using the Verific SystemVerilog parser:
+Or explicitly using the Verific SystemVerilog parser (default for ``read -sv``
+when Yosys is built with Verific support):
 
 .. code-block:: text
 
@@ -298,6 +299,15 @@ Or using the Verific SystemVerilog parser:
    verific -import mytest
    prep -top mytest
 
+Or explicitly using the native Yosys Verilog parser (default for ``read -sv``
+when Yosys is not built with Verific support):
+
+.. code-block:: text
+
+   [script]
+   read_verilog -sv mytest.sv
+   prep -top mytest
+
 Run ``yosys`` in a terminal window and enter ``help`` on the Yosys prompt
 for a command list. Run ``help <command>`` for a detailed description of the
 command, for example ``help prep``.
index aa79f220388c7f7aeabdcd7893c471ee0e2e6b2a..504c8000034f64cc73be622f3791ac8e633ad71f 100644 (file)
@@ -7,11 +7,18 @@ to read a SystemVerilog source file, and ``verific -vhdl <files>`` to read a
 VHDL source file.
 
 After all source files have been read, run ``verific -import <topmodule>``
-to import the design elaborated at the specified top module.
+to import the design elaborated at the specified top module. This step is
+optional (will be performed automatically) if the top-level module of
+your design has been read using Verific.
+
+Use ``read -sv`` to automatically use Verific to read a source file if Yosys
+has been built with Verific.
 
 Run ``yosys -h verific`` in a terminal window and enter for more information
 on the ``verific`` script command.
 
+.. _sva:
+
 Supported SVA Property Syntax
 -----------------------------
 
index 317dca917f66498483a2a0236e5ef90bdc1ce822..d83b29911d4ba97d28f2c26f7632bd4700edaebf 100644 (file)
@@ -4,7 +4,9 @@ Formal extensions to Verilog
 
 TBD
 
-``read_verilog -formal``
+``read -sv``
+
+``read_verilog -sv``
 
 SystemVerilog Immediate Assertions
 ----------------------------------
@@ -33,17 +35,32 @@ Liveness and Fairness
 
 TBD
 
-``assert(eventually <expr>);``
+``assert property (eventually <expr>);``
 
-``assume(eventually <expr>);``
+``assume property (eventually <expr>);``
 
 Unconstrained Variables
 -----------------------
 
 TBD
 
-Nonstandard Extensions in Yosys
--------------------------------
+``(* anyconst *)``
+
+``(* anyseq *)``
+
+``(* allconst *)``
+
+``(* allseq *)``
+
+Global Clock
+------------
 
 TBD
 
+``(* gclk *)``
+
+SystemVerilog Concurrent Assertions
+-----------------------------------
+
+TBD, see :ref:`sva`.
+