boards/platforms/versa_ecp5: fix mising update.
authorFlorent Kermarrec <florent@enjoy-digital.fr>
Wed, 1 Jul 2020 06:35:54 +0000 (08:35 +0200)
committerFlorent Kermarrec <florent@enjoy-digital.fr>
Wed, 1 Jul 2020 06:35:54 +0000 (08:35 +0200)
litex/boards/platforms/versa_ecp5.py

index 4ea3df466fa55368d377079213bf5e6a0f1aa1b4..4ab2383074b35df8b2f1cc2567577fe40e7f91d0 100644 (file)
@@ -221,8 +221,9 @@ class Platform(LatticePlatform):
     default_clk_name   = "clk100"
     default_clk_period = 1e9/100e6
 
-    def __init__(self, **kwargs):
-        LatticePlatform.__init__(self, "LFE5UM5G-45F-8BG381C", _io, _connectors, **kwargs)
+    def __init__(self, device="LFE5UM5G", **kwargs):
+        assert device in ["LFE5UM5G", "LFE5UM"]
+        LatticePlatform.__init__(self, device + "-45F-8BG381C", _io, _connectors, **kwargs)
 
     def create_programmer(self):
         return OpenOCDJTAGProgrammer("openocd_versa_ecp5.cfg")