add extra unit tests (infinity / NaN)
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 15 Feb 2019 13:19:03 +0000 (13:19 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 15 Feb 2019 13:19:03 +0000 (13:19 +0000)
src/add/test_add.py

index daa79d3691752afd5ce1213e960a1965412a9b13..ce67f63ec52c71024134bfb8d7a8535a897c3e1b 100644 (file)
@@ -46,16 +46,25 @@ def check_case(dut, a, b, z):
     assert out_z == z, "Output z 0x%x not equal to expected 0x%x" % (out_z, z)
 
 def testbench(dut):
-    yield from check_case(dut, 0, 0, 0)
-    yield from check_case(dut, 0x3F800000, 0x40000000, 0x40400000)
-    yield from check_case(dut, 0x40000000, 0x3F800000, 0x40400000)
-    yield from check_case(dut, 0x447A0000, 0x4488B000, 0x4502D800)
-    yield from check_case(dut, 0x463B800A, 0x42BA8A3D, 0x463CF51E)
-    yield from check_case(dut, 0x42BA8A3D, 0x463B800A, 0x463CF51E)
-    yield from check_case(dut, 0x463B800A, 0xC2BA8A3D, 0x463A0AF6)
-    yield from check_case(dut, 0xC2BA8A3D, 0x463B800A, 0x463A0AF6)
-    yield from check_case(dut, 0xC63B800A, 0x42BA8A3D, 0xC63A0AF6)
-    yield from check_case(dut, 0x42BA8A3D, 0xC63B800A, 0xC63A0AF6)
+    #yield from check_case(dut, 0, 0, 0)
+    #yield from check_case(dut, 0x3F800000, 0x40000000, 0x40400000)
+    #yield from check_case(dut, 0x40000000, 0x3F800000, 0x40400000)
+    #yield from check_case(dut, 0x447A0000, 0x4488B000, 0x4502D800)
+    #yield from check_case(dut, 0x463B800A, 0x42BA8A3D, 0x463CF51E)
+    #yield from check_case(dut, 0x42BA8A3D, 0x463B800A, 0x463CF51E)
+    #yield from check_case(dut, 0x463B800A, 0xC2BA8A3D, 0x463A0AF6)
+    #yield from check_case(dut, 0xC2BA8A3D, 0x463B800A, 0x463A0AF6)
+    #yield from check_case(dut, 0xC63B800A, 0x42BA8A3D, 0xC63A0AF6)
+    #yield from check_case(dut, 0x42BA8A3D, 0xC63B800A, 0xC63A0AF6)
+    yield from check_case(dut, 0xFFFFFFFF, 0xC63B800A, 0xFFC00000)
+    yield from check_case(dut, 0x7F800000, 0x00000000, 0x7F800000)
+    yield from check_case(dut, 0x00000000, 0x7F800000, 0x7F800000)
+    yield from check_case(dut, 0xFF800000, 0x00000000, 0xFF800000)
+    yield from check_case(dut, 0x00000000, 0xFF800000, 0xFF800000)
+    yield from check_case(dut, 0x7F800000, 0x7F800000, 0x7F800000)
+    yield from check_case(dut, 0xFF800000, 0xFF800000, 0xFF800000)
+    yield from check_case(dut, 0x7F800000, 0xFF800000, 0xFFC00000)
+    yield from check_case(dut, 0xFF800000, 0x7F800000, 0x7FC00000)
     #yield from check_case(dut, 1, 0, 1)
     #yield from check_case(dut, 1, 1, 1)