Merge pull request #159 from jix/fix-dpmem-example
authorJannis Harder <me@jix.one>
Wed, 11 May 2022 09:23:57 +0000 (11:23 +0200)
committerGitHub <noreply@github.com>
Wed, 11 May 2022 09:23:57 +0000 (11:23 +0200)
examples: Fix use of SVA value change expressions

docs/examples/multiclk/dpmem.sv

index 87e4f61fe48df41323e3cbdaecb249f1b94ba4fd..4a920e4e4696003cd822297d48082874e5aea34f 100644 (file)
@@ -47,9 +47,9 @@ module top (
        (* gclk *) reg gclk;
 
        always @(posedge gclk) begin
-               assume ($stable(rc) || $stable(wc));
-
                if (!init) begin
+                       assume ($stable(rc) || $stable(wc));
+
                        if ($rose(rc) && shadow_valid && shadow_addr == $past(ra)) begin
                                assert (shadow_data == rd);
                        end