Remove commented test
authorJean THOMAS <git0@pub.jeanthomas.me>
Fri, 24 Jul 2020 12:49:20 +0000 (14:49 +0200)
committerJean THOMAS <git0@pub.jeanthomas.me>
Fri, 24 Jul 2020 12:49:20 +0000 (14:49 +0200)
gram/test/test_core_multiplexer.py

index e80036b5695d39894dec84b4a16d5f7fc633df15..10d5f50d9dd184f4e5d1568e1a4d83ac6e5719d5 100644 (file)
@@ -29,7 +29,6 @@ class AntiStarvationTestCase(FHDLTestCase):
             self.assertFormal(dut, mode="bmc", depth=timeout+1)
 
         generic_test(0)
-        #generic_test(1)
         generic_test(5)
         generic_test(10)
         generic_test(0x20)