From: Luke Kenneth Casson Leighton Date: Thu, 28 Feb 2019 13:02:18 +0000 (+0000) Subject: use GetOpMod for b X-Git-Tag: ls180-24jan2020~1793 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=34caed2a765f8380e9723cbd84741421a15b7465;p=ieee754fpu.git use GetOpMod for b --- diff --git a/src/add/nmigen_add_experiment.py b/src/add/nmigen_add_experiment.py index 5facb893..da8d051c 100644 --- a/src/add/nmigen_add_experiment.py +++ b/src/add/nmigen_add_experiment.py @@ -787,12 +787,15 @@ class FPADD: m.submodules.get_a = geta.mod #m.submodules.fpnum_a = a - getb = self.add_state(FPGetOpB(self.in_b, self.width)) + getb = self.add_state(FPGetOp("get_b", "special_cases", + self.in_b, self.width)) #getb.set_inputs({"in_b": self.in_b}) #getb.set_outputs({"b": b}) - b = getb.b + b = getb.out_op + getb.mod.setup(m, self.in_b, getb.out_op, getb.out_decode) # XXX m.d.comb += b.v.eq(self.in_b.v) # links in_b to b - m.submodules.fpnum_b = b + m.submodules.get_b = getb.mod + #m.submodules.fpnum_b = b sc = self.add_state(FPAddSpecialCases(self.width)) sc.set_inputs({"a": a, "b": b})