From: Luke Kenneth Casson Leighton Date: Thu, 28 Mar 2019 15:03:07 +0000 (+0000) Subject: add comments as a reminder to make code use sync for state-based X-Git-Tag: ls180-24jan2020~1420 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=9fa53b762117580cfe83f94ecc7df9d6a061a791;p=ieee754fpu.git add comments as a reminder to make code use sync for state-based --- diff --git a/src/add/nmigen_add_experiment.py b/src/add/nmigen_add_experiment.py index 8b5f2a64..f6606761 100644 --- a/src/add/nmigen_add_experiment.py +++ b/src/add/nmigen_add_experiment.py @@ -381,6 +381,7 @@ class FPAddSpecialCasesDeNorm(FPState, UnbufferedPipeline): #m.d.sync += out_z.mid.eq(self.smod.o.mid) # (and mid) # out_do_z=False + # XXX TODO: sync for state-based m.d.comb += self.o.eq(self.dmod.o) def process(self, i): @@ -687,6 +688,7 @@ class FPAddAlignSingleAdd(FPState, UnbufferedPipeline): chain = StageChain([mod, a0mod, a1mod]) chain.setup(m, i) + # XXX TODO: sync for state-based m.d.comb += self.a1o.eq(a1mod.o) def process(self, i): @@ -1247,6 +1249,7 @@ class FPNormToPack(FPState, UnbufferedPipeline): chain.setup(m, i) self.out_z = pmod.ospec() + # XXX TODO: sync for state-based m.d.comb += self.out_z.mid.eq(pmod.o.mid) m.d.comb += self.out_z.z.eq(pmod.o.z) # outputs packed result