From: Luke Kenneth Casson Leighton Date: Tue, 30 Apr 2019 13:15:27 +0000 (+0100) Subject: use nmoperator instead of direct eq X-Git-Tag: ls180-24jan2020~1096 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=eee644f2a262225ee38029a728f3ceb6e48ed2ec;p=ieee754fpu.git use nmoperator instead of direct eq --- diff --git a/src/add/fpcommon/getop.py b/src/add/fpcommon/getop.py index 3b93f4d1..1988997a 100644 --- a/src/add/fpcommon/getop.py +++ b/src/add/fpcommon/getop.py @@ -15,6 +15,7 @@ from multipipe import CombMuxOutPipe from multipipe import PriorityCombMuxInPipe from fpbase import FPState +import nmoperator class FPGetOpMod(Elaboratable): @@ -53,7 +54,7 @@ class FPGetOp(FPState): """ links module to inputs and outputs """ setattr(m.submodules, self.state_from, self.mod) - m.d.comb += self.mod.in_op.eq(in_op) + m.d.comb += nmoperator.eq(self.mod.in_op, in_op) m.d.comb += self.out_decode.eq(self.mod.out_decode) def action(self, m): diff --git a/src/add/iocontrol.py b/src/add/iocontrol.py index f9d15bd2..3d823c9b 100644 --- a/src/add/iocontrol.py +++ b/src/add/iocontrol.py @@ -224,7 +224,7 @@ class PrevControl(Elaboratable): return m def eq(self, i): - return [self.data_i.eq(i.data_i), + return [nmoperator.eq(self.data_i, i.data_i), self.ready_o.eq(i.ready_o), self.valid_i.eq(i.valid_i)]