vendor.intel: implement `add_settings` (QSF) and `add_constraints` (SDC) overrides.
[nmigen.git] / .codecov.yml
2020-10-25 whitequarkCI: disable codecov project status.