vendor.intel: implement `add_settings` (QSF) and `add_constraints` (SDC) overrides.
[nmigen.git] / CONTRIBUTING.txt
2020-07-06 Alan GreenUpdate license and copyright info