back.{verilog,rtlil}: adjust $verilog_initial_trigger insertion.
[nmigen.git] / nmigen / back / rtlil.py
2020-10-25 whitequarkback.{verilog,rtlil}: adjust $verilog_initial_trigger...
2020-08-26 whitequarkback.rtlil: do not squash empty modules.
2020-07-22 whitequarkback.rtlil: lower maximum accepted wire size.
2020-07-15 whitequarkback.rtlil: fix guard for division by zero.
2020-05-19 whitequarkback.rtlil: handle signed and large Instance parameters...
2020-04-28 whitequarkback.rtlil: fix incorrect escaping of signed parameters.
2020-04-16 anuejnhdl.rec: make Record inherit from UserValue. working_23jun2020
2020-04-15 whitequarkback.rtlil: translate enum decoders to Yosys enum attri...
2020-04-13 whitequarkback.rtlil: don't emit connections to zero width ports.
2020-04-13 whitequarkback.rtlil: refuse to create extremely large wires.
2020-04-13 whitequarkback.rtlil: fix expansion of Part() for partial dummy...
2020-04-13 whitequarkback.rtlil: fix legalization of Part() with stride.
2020-04-13 whitequarkClarify a few comments. NFC.
2020-02-06 whitequarkhdl.ast: add Value.{as_signed,as_unsigned}.
2020-02-06 whitequarkhdl.mem: add synthesis attribute support.
2020-01-31 whitequarkback.rtlil: don't emit wires for empty signals.
2020-01-01 whitequarkback.rtlil: do not consider unreachable array elements...
2019-11-27 whitequarkback.rtlil: infer bit width for instance parameters.
2019-11-18 whitequarkback.rtlil: extend shorter operand of a binop when...
2019-10-28 whitequarkback.verilog: remove $verilog_initial_trigger after...
2019-10-26 whitequarkback.rtlil: avoid exponential behavior when legalizing...
2019-10-26 whitequarkback.rtlil: fix lowering of Part() on LHS to account...
2019-10-13 whitequark{,_}tools→{,_}utils
2019-10-12 whitequarkhdl.ast: rename Slice.end back to Slice.stop.
2019-10-12 whitequark_tools: extract most utility methods to a private package.
2019-10-12 Jean-François Nguyenback.rtlil: fix DeprecationWarning. NFC.
2019-10-11 whitequarkhdl.ast: deprecate shapes like `(1, True)` in favor...
2019-10-11 whitequarkhdl.ast: Operator.{op→operator}
2019-10-06 whitequarkback.rtlil: don't crash legalizing values with no branches.
2019-10-04 whitequarkback.rtlil: avoid unsoundness for division by zero.
2019-10-02 whitequarkback.rtlil: don't cache wires for legalized switch...
2019-10-02 whitequarkback.rtlil: sign of rhs and lhs of ${sshr,sshl,pow...
2019-10-02 whitequarkback.rtlil: it is not necessary to match binop operand...
2019-09-28 whitequarkhdl.ast: actually implement the // operator.
2019-09-24 whitequarkback.rtlil: fix handling of certain nested arrays.
2019-09-23 whitequarkback.rtlil: give predictable names to anonymous subfrag...
2019-09-20 whitequarkhdl.ast: rename `nbits` to `width`.
2019-09-13 whitequarkhdl.ast: add Value.{any,all}, mapping to $reduce_{or...
2019-09-11 whitequarkback: return name map from convert_fragment().
2019-09-03 whitequarkhdl.ast,back.rtlil: implement Cover.
2019-08-31 whitequarkhdl.cd: add negedge clock domains.
2019-08-22 whitequarkback.rtlil: print real parameters with maximum precision.
2019-08-22 Darrell Harmonback.rtlil: add support for real (float) parameters...
2019-08-19 whitequarkback.{rtlil,verilog}: split convert_fragment() off...
2019-08-15 whitequarkhdl.ast: implement Initial.
2019-08-04 whitequarkback.rtlil: use a dummy wire, not 'x, when assigning...
2019-08-03 whitequarkback.rtlil: actually match shape of left hand side.
2019-08-03 whitequarkback.rtlil: fix sim-synth mismatch with assigns followi...
2019-08-03 whitequarkhdl.ast: deprecate Value.part, add Value.{bit,word...
2019-08-03 whitequarkhdl.ast, back.rtlil: add source locations to anonymous...
2019-07-09 whitequarkback.rtlil: add decodings to cases when switching on...
2019-07-09 whitequarkhdl.{ast,dsl},back.rtlil: track source locations for...
2019-07-08 whitequarkbuild.{dsl,res}: allow platform-dependent attributes...
2019-07-08 whitequarkback.rtlil: don't name-prefix signals connected to...
2019-07-08 whitequarkback.rtlil: ignore empty source locations.
2019-07-08 whitequarkback.rtlil: attach source locations to switches, not...
2019-07-08 whitequarkback.rtlil: use a more principled approach to attribute...
2019-07-03 whitequarkback.rtlil: emit \src attributes for processes via...
2019-07-02 whitequarkback.rtlil: emit \sig$next wires instead of \$next...
2019-07-02 whitequarkback.rtlil: do not emit $next wires for comb signals.
2019-07-01 whitequarkback.rtlil: fix Array regression in 32446831.
2019-06-28 whitequarkhdl.{ast,dsl}, back.{pysim,rtlil}: allow multiple case...
2019-06-28 whitequarkhdl.ir, back.rtlil: allow specifying attributes on...
2019-06-11 whitequarkback.rtlil: mask memory init values.
2019-05-26 whitequarkback.rtlil: allow specifying platform for convert().
2019-05-13 whitequarkback.rtlil: assign undriven signals to their reset...
2019-04-22 whitequarkhdl.ir: rework named port handling for Instances.
2019-04-21 whitequarkback.rtlil: emit `nmigen.hierarchy` attribute.
2019-04-21 whitequarkback.rtlil: only expand legalized values in Array/Part...
2019-04-20 whitequarkback.rtlil: allow record slices on LHS.
2019-03-28 whitequarkback.rtlil: fix off-by-one in Part legalization.
2019-01-26 whitequarkback.rtlil: accept ast.Const as cell parameter.
2019-01-26 whitequarkback.rtlil: accept any elaboratable, not just fragments.
2019-01-19 whitequarkhdl.ast: give Assert and Assume their own src_loc.
2019-01-18 whitequarkback.rtlil: only emit each AnyConst/AnySeq cell once.
2019-01-17 whitequarkhdl.xfrm: add SampleLowerer.
2019-01-16 whitequarkback.rtlil: slightly nicer naming for $next signals...
2019-01-16 whitequarkback.rtlil: rename \sig$next to $next$sig.
2019-01-15 whitequarkUnbreak 655d02d5.
2019-01-15 William D. Jonesback.rtlil: Generate $anyconst and $anyseq cells.
2019-01-02 whitequarkback.rtlil: translate empty slices correctly.
2019-01-02 William D. Jonesback.rtlil: Generate RTLIL for Assert/Assume statements.
2019-01-01 whitequarkback.rtlil: fix typo.
2018-12-31 whitequarkback.rtlil: match shape of Array elements to ArrayProxy...
2018-12-31 whitequarkback.rtlil: fix typo.
2018-12-28 whitequarkhdl.rec: add basic record support.
2018-12-26 whitequarkback.rtlil: clarify $verilog_initial_trigger behavior...
2018-12-24 whitequarkback.rtlil: unbreak d47c1f8a.
2018-12-24 whitequarkback.rtlil: use one $meminit cell, not one per word.
2018-12-24 whitequarkhdl.xfrm, back.rtlil: implement and use LHSGroupFilter.
2018-12-24 whitequarkhdl.xfrm: implement SwitchCleaner, for pruning empty...
2018-12-24 whitequarkback.rtlil: always output negative values as two's...
2018-12-23 whitequarkback.rtlil: emit dummy logic to work around Verilog...
2018-12-23 whitequarkback.rtlil: do not translate empty fragments.
2018-12-23 whitequarkback.rtlil: only translate switch tests once.
2018-12-23 whitequarkback.rtlil: fix swapped operands in mux codegen.
2018-12-22 whitequarkback.rtlil: split processes as finely as possible.
2018-12-22 whitequarkback.rtlil: remove useless condition. NFC.
2018-12-22 whitequarkback.rtlil: always initialize the entire memory.
2018-12-21 whitequarkback.rtlil: more consistent prefixing for subfragment...
next