lib.fifo: add `r_level` and `w_level` to all FIFOs
[nmigen.git] / nmigen / test / test_lib_fifo.py
2020-08-15 awyglelib.fifo: add `r_level` and `w_level` to all FIFOs
2020-07-28 Jacob Gravestests: fix remove unnecessary workaround for some unitt...
2020-03-14 awygleCorrectly handle resets in AsyncFIFO.
2020-02-06 whitequarktest_lib_fifo: define all referenced FSM states.
2019-10-26 whitequarktest: use `#nmigen:` magic comment instead of monkey...
2019-10-13 whitequark{,_}tools→{,_}utils
2019-10-11 whitequarkhdl.ast: deprecate Signal.{range,enum}.
2019-10-11 whitequarkConsistently use {!r}, not '{!r}' in diagnostics.
2019-09-23 whitequarklib.fifo: handle depth=0, elaborating to a dummy FIFO...
2019-09-23 whitequarkhdl.mem,lib.fifo: use keyword-only arguments for memory...
2019-09-23 whitequarklib.fifo: change FIFOInterface() diagnostics to follow...
2019-09-23 whitequarklib.fifo: round up AsyncFIFO{,Buffered} depth to lowest...
2019-09-23 whitequarklib.fifo: make simulation read() and write() functions...
2019-09-20 whitequarktest.test_lib_fifo: fix typo.
2019-09-13 whitequarklib.fifo: adjust properties to have consistent naming.
2019-09-12 whitequarklib.fifo: make fwft a keyword-only argument.
2019-09-12 whitequarklib.fifo: remove SyncFIFO.replace.
2019-09-08 whitequarkhdl.mem,lib,examples: use Signal.range().
2019-08-19 whitequarkformal→asserts
2019-08-15 whitequarkhdl.ast: implement Initial.
2019-07-01 whitequarkhdl.mem: use read_port(domain="comb") for asynchronous...
2019-06-04 whitequarkClean up imports.
2019-04-21 whitequarkhdl.ir: detect elaboratables that are created but not...
2019-01-26 whitequarkhdl.ir: rename .get_fragment() to .elaborate().
2019-01-21 whitequarklib.fifo: add AsyncFIFO and AsyncFIFOBuffered.
2019-01-19 whitequarklib.fifo: use memory in the FIFO model.
2019-01-19 whitequarklib.fifo: use model equivalence to simplify formal...
2019-01-19 whitequarkhdl.xfrm: mark internal registers used in lowering...
2019-01-19 whitequarklib.fifo: formally verify FIFO contract.
2019-01-17 whitequarklib.fifo: add basic formal specification.
2019-01-16 whitequarklib.fifo: port sync FIFO queues from Migen.