vendor.lattice_{ecp5,machxo_2_3l}: remove -forceAll from Diamond scripts.
[nmigen.git] / nmigen / test /
2020-10-15 whitequarktests: keep comments up to date. NFC.
2020-08-27 whitequarknmigen.test.utils: restore FHDLTestCase to gracefully...
2020-08-27 whitequarktests: move out of the main package.
2020-08-26 whitequarkhdl.ast: avoid unnecessary sign padding in ArrayProxy.
2020-08-26 whitequarksim._pyrtl: fix miscompilation of -(Const(0b11, 2)...
2020-08-26 whitequarklib.cdc: in AsyncFFSynchronizer(), rename domain= to...
2020-08-15 awyglelib.fifo: add `r_level` and `w_level` to all FIFOs
2020-07-31 whitequarkbuild,vendor: never carry around parts of differential...
2020-07-28 Jean THOMASnmigen.lib.scheduler: add RoundRobin.
2020-07-28 Jacob Gravestests: fix remove unnecessary workaround for some unitt...
2020-07-07 awyglehdl.ast: don't inherit Shape from NamedTuple.
2020-07-07 whitequarkback.pysim: synchronize waveform writing with cxxrtl.
2020-07-07 whitequarkRemove everything deprecated in nmigen 0.2.
2020-07-02 whitequarktest: remove FHDLTestCase.assertRaisesRegex.
2020-06-30 whitequarkAdd (heavily work in progress) documentation.
2020-06-28 whitequarklib.cdc: update PulseSynchronizer to follow conventions.
2020-06-11 whitequarktest: fix example test after commit a7b8ced9.
2020-06-06 Adam Greighdl.xfrm: preserve allow_reset_less when transforming...
2020-06-05 Shawn Anastasiohdl.rec: preserve shapes when constructing a layout.
2020-05-20 whitequarkhdl.ast: add const-shift operations.
2020-05-19 whitequarkhdl.dsl: check for unique domain name.
2020-04-24 awyglehdl.ir: typecheck `convert(ports=)` more carefully.
2020-04-16 anuejnhdl.rec: make Record inherit from UserValue. working_23jun2020
2020-04-13 Dan Ravenslofthdl.ast: add Value.{rotate_left,rotate_right}.
2020-04-12 whitequarkhdl.rec: improve repr() for Layout.
2020-04-12 whitequarkhdl.ast: improve repr() for Shape.
2020-04-02 Jacob LifshayAdd support for using non-compat Elaboratable instances...
2020-03-22 whitequarkhdl.ast: implement abs() on values.
2020-03-15 Stuart Olsenback.pysim: implement modulus operator.
2020-03-14 awygleCorrectly handle resets in AsyncFIFO.
2020-03-08 awyglelib.cdc: extract AsyncFFSynchronizer.
2020-02-19 whitequarkback.pysim: fix RHS codegen for Cat() and Repl(......
2020-02-16 awyglenmigen.lib.cdc: port PulseSynchronizer.
2020-02-07 whitequarktest_build_res: fix after commit 3e2ecdf2.
2020-02-06 whitequarkhdl.ast: add Value.{as_signed,as_unsigned}.
2020-02-06 whitequarktest_lib_fifo: define all referenced FSM states.
2020-02-06 whitequarkhdl.dsl: make referencing undefined FSM states an error.
2020-02-06 whitequarkhdl.ir: type check ports.
2020-02-06 whitequarkhdl.dsl: reject name mismatch in `m.domains.<name>...
2020-02-06 whitequarkhdl.dsl: type check when adding to m.domains.
2020-02-06 whitequarkhdl.mem: add synthesis attribute support.
2020-02-04 whitequarkhdl.{ast,dsl}: allow whitespace in bit patterns.
2020-02-01 whitequarkhdl.ast: prohibit shifts by signed value.
2020-02-01 whitequarkhdl.dsl: don't allow inheriting from Module.
2020-01-31 whitequarkhdl.dsl: add missing case width check for Enum values.
2020-01-31 whitequarkhdl.dsl: make `if m.{If,Elif,Else}(...)` a syntax error.
2020-01-31 Jaro Habigerbuild.dsl: allow strings to be used as connector numbers.
2020-01-18 whitequarkhdl.ir: resolve hierarchy conflicts before creating...
2020-01-17 whitequarkhdl.xfrm: transform drivers as well in DomainRenamer.
2020-01-12 whitequarkRemove everything deprecated in nmigen 0.1.
2020-01-11 Staf VerhaegenSignal: allow to use integral Enum for reset value.
2019-12-04 Marcin Kościelnickihdl.ast: Fix width for unary minus operator on signed...
2019-11-28 whitequarkback.pysim: redesign the simulator.
2019-11-26 whitequarkhdl.ir: for instance ports, prioritize defs over uses.
2019-11-15 whitequarkbuild.plat: in Platform.add_file(), allow adding exact...
2019-11-15 whitequarktest: add tests for build.plat.Platform.add_file.
2019-11-07 whitequarkhdl.ir: lower domains before resolving hierarchy conflicts.
2019-10-26 whitequarktest: use `#nmigen:` magic comment instead of monkey...
2019-10-26 whitequarkhdl.ast: simplify {bit,word}_select with constant offset.
2019-10-13 whitequark{,_}tools→{,_}utils
2019-10-13 whitequarkhdl.ir: allow ClockSignal and ResetSignal in ports.
2019-10-13 whitequarkhdl.ir: cast instance port connections to Values.
2019-10-12 whitequarkhdl.ast: rename Slice.end back to Slice.stop.
2019-10-12 whitequark_tools: extract most utility methods to a private package.
2019-10-11 whitequarkRename remaining `wrap` methods to `cast`.
2019-10-11 whitequarkhdl.ast: deprecate shapes like `(1, True)` in favor...
2019-10-11 whitequarkhdl.ast: deprecate Signal.{range,enum}.
2019-10-11 whitequarkhdl.ast: add an explicit Shape class, included in prelude.
2019-10-11 whitequarkConsistently use {!r}, not '{!r}' in diagnostics.
2019-10-11 whitequarkhdl.ast: simplify enum handling.
2019-10-11 whitequarkhdl.ast: Value.{wrap→cast}
2019-10-04 whitequarkhdl.ast: prohibit signed divisors.
2019-10-03 whitequarkbuild.dsl: accept Pins(invert=True).
2019-10-02 whitequarkhdl.ast: don't crash on Mux(<bool>, ...).
2019-09-28 whitequarkhdl.ast: actually implement the // operator.
2019-09-28 whitequarkhdl.dsl: add a diagnostic for `m.d.submodules += ...`.
2019-09-28 whitequarkhdl.mem: remove WritePort(priority=) argument.
2019-09-23 whitequarklib.cdc: add diagnostic checks for synchronization...
2019-09-23 whitequarklib.cdc: MultiReg→FFSynchronizer.
2019-09-23 whitequarkhdl.ast: cast Mux() selector to bool if it is not a...
2019-09-23 whitequarklib.fifo: handle depth=0, elaborating to a dummy FIFO...
2019-09-23 whitequarkhdl.mem,lib.fifo: use keyword-only arguments for memory...
2019-09-23 whitequarkhdl.mem: simplify. NFC.
2019-09-23 whitequarkhdl.ast: make Signal(name=) a keyword-only argument.
2019-09-23 whitequarklib.fifo: change FIFOInterface() diagnostics to follow...
2019-09-23 whitequarklib.fifo: round up AsyncFIFO{,Buffered} depth to lowest...
2019-09-23 whitequarklib.fifo: make simulation read() and write() functions...
2019-09-22 whitequarkhdl.rec: fix using Enum subclass as shape if direction...
2019-09-22 whitequarkhdl.rec: allow using Enum subclass as shape.
2019-09-21 whitequarkbuild.res: simplify clock constraints.
2019-09-20 whitequarkhdl.mem: use 1 as reset value for ReadPort.en.
2019-09-20 whitequarkhdl.ast: rename `nbits` to `width`.
2019-09-20 whitequarktest.test_lib_fifo: fix typo.
2019-09-20 whitequarkback.pysim: fix simulation of Value.xor().
2019-09-16 whitequarkhdl.{ast,dsl}: add Signal.enum; coerce Enum to Value...
2019-09-14 whitequarkhdl.ast: add Value.matches(), accepting same language...
2019-09-14 whitequarkhdl.dsl: improve error messages for Case().
2019-09-13 whitequarkhdl.ast: add Value.xor, mapping to $reduce_xor.
2019-09-13 whitequarkhdl.ast: add Value.{any,all}, mapping to $reduce_{or...
2019-09-13 whitequarklib.fifo: adjust properties to have consistent naming.
next