build.plat: TemplatedPlatform.iter_extra_files→Platform.iter_files.
[nmigen.git] / nmigen / vendor / intel.py
2020-11-10 whitequarkbuild.plat: TemplatedPlatform.iter_extra_files→Platform...
2020-11-06 Konrad Beckmannvendor.intel: add support for Cyclone V internal oscillator
2020-08-26 whitequarklib.cdc: in AsyncFFSynchronizer(), rename domain= to...
2020-07-31 whitequarkbuild,vendor: never carry around parts of differential...
2020-05-21 whitequarkvendor.intel: don't use `write_verilog -decimal`.
2020-05-21 whitequarkvendor.intel: double-quote Tcl values rather than brace...
2020-05-20 whitequarkvendor.xilinx_{7series,ultrascale}: add (*keep*) on...
2020-05-02 whitequarkplat, vendor: systematically escape net and file names...
2020-03-12 whitequarkvendor: fix a few issues in commit 2f8669ca.
2020-03-08 awyglelib.cdc: extract AsyncFFSynchronizer.
2020-02-06 whitequarkbuild.res,vendor: place clock constraint on port, not...
2020-01-09 schwigivendor.intel: fix output enable width for XDR=0 case.
2019-12-01 Dan Ravensloftvendor.intel: silence meaningless warnings in nMigen...
2019-10-13 EmilyRefactor build script toolchain lookups.
2019-10-10 whitequarkvendor.intel: add Quartus support.