pass jny: fixed missing quotes around the type value for the cell sort
[yosys.git] / passes /
2022-04-07 CatherineMerge pull request #3269 from YosysHQ/micko/fix_autotop
2022-04-07 Marcelina Kościelnickaabc: Add support for FFs with reset in -dff
2022-04-05 Miodrag MilanovicReorder steps in -auto-top to fix synth command, fixes...
2022-04-04 Marcelina Kościelnickashow: Fix width labels.
2022-04-04 Miodrag MilanovićMerge pull request #3265 from YosysHQ/micko/sim_improve...
2022-04-02 Miodrag Milanovicpast_ad initial value setting
2022-04-02 Miodrag MilanovicsetInitState can be only one altering values
2022-04-02 Miodrag MilanovicSet past_d value for init state
2022-04-02 Jannis HarderMerge pull request #3264 from jix/invalid_ff_dcinit_merge
2022-04-01 Jannis Harderopt_merge: Add `-keepdc` option required for formal...
2022-04-01 Miodrag MilanovićMerge pull request #3263 from YosysHQ/micko/clk2ff_init
2022-04-01 Miodrag MilanovicSet init values for wrapped async control signals
2022-03-31 Miodrag MilanovićMerge pull request #3256 from YosysHQ/micko/aiw_multiclock
2022-03-31 Miodrag Milanovic Support memories in aiw and multiclock
2022-03-30 Miodrag MilanovićMerge pull request #3250 from YosysHQ/micko/verific_con...
2022-03-28 Jannis HarderMerge pull request #3253 from jix/smtbmc-nodeepcopy
2022-03-28 Jannis HarderMerge pull request #3247 from jix/smtbmc-keepgoing
2022-03-28 LoftyMerge pull request #3194 from Ravenslofty/abc9-flow3mfs
2022-03-28 LoftyMerge pull request #3246 from YosysHQ/gatecat/timing...
2022-03-24 gatecatabc9_ops: Also derive blackboxes with timing info
2022-03-22 Miodrag MilanovicProper SigBit forming in sim
2022-03-22 Miodrag MilanovicProper SigBit forming in sim
2022-03-18 Miodrag MilanovicMore verbose warnings
2022-03-17 Miodrag MilanovićMerge pull request #3236 from YosysHQ/micko/tb_initial
2022-03-16 Miodrag MilanovicRecognize registers and set initial state for them...
2022-03-16 Miodrag MilanovicUpdate sim help message.
2022-03-14 Miodrag MilanovićMerge pull request #3232 from YosysHQ/micko/fst2tb
2022-03-14 Miodrag MilanovicAdded fst2tb pass for generating testbench
2022-03-14 Claire XenMerge pull request #3213 from antonblanchard/abc-typo
2022-03-11 Miodrag MilanovićMerge pull request #3229 from YosysHQ/micko/sim_date
2022-03-11 Miodrag MilanovićMerge pull request #3222 from zachjs/prune-linux-ci
2022-03-11 Miodrag MilanovićMerge pull request #3228 from YosysHQ/micko/disable_tests
2022-03-11 Claire Xenia WolfAdd "sim -q" option
2022-03-11 Miodrag MilanovicAdd date parameter to enable full date/time and version...
2022-03-11 Claire Xenia WolfSmall fix in "sim" help message
2022-03-11 Miodrag MilanovićMerge pull request #3226 from YosysHQ/micko/btor2witness
2022-03-11 Miodrag MilanovicFstData already do conversion to VCD
2022-03-11 Miodrag MilanovicSupport cell name in btor witness file
2022-03-11 Miodrag MilanovicProper write of memory data
2022-03-09 Miodrag MilanovicStart work on memory init
2022-03-09 Miodrag MilanovicFixes and error check
2022-03-07 Miodrag Milanoviccleanup
2022-03-07 Miodrag MilanovicError checks for aiger witness
2022-03-07 Miodrag Milanovicbtor2 witness co-simulation
2022-03-07 Miodrag MilanovićMerge pull request #3210 from rqou/json-signed
2022-03-04 Miodrag MilanovićMerge pull request #3186 from nakengelhardt/smtbmc_sby_...
2022-03-04 Miodrag MilanovićMerge pull request #3206 from YosysHQ/micko/quote_remove
2022-03-04 Miodrag MilanovićMerge pull request #3207 from nakengelhardt/json_escape...
2022-03-04 Miodrag MilanovićMerge pull request #3219 from YosysHQ/micko/quick_vcd
2022-03-04 Miodrag MilanovićMerge pull request #3220 from YosysHQ/claire/simstuff
2022-03-02 Miodrag MilanovicAdd option to ignore X only signals in output
2022-03-02 Miodrag MilanovicWrite simulation files after simulation is performed
2022-03-02 Claire XenMerge pull request #3224 from YosysHQ/micko/refactor
2022-03-02 Miodrag MilanovicCleanup
2022-02-28 Miodrag MilanovicRefactor sim output writers
2022-02-28 Miodrag MilanovicQuick fix
2022-02-28 Claire Xenia WolfAdd writing of aiw files to "sim" command
2022-02-28 Claire Xenia WolfHotfix in AIGER witness reader state machine
2022-02-28 Miodrag MilanovicVCD reader support by using external tool
2022-02-28 Miodrag MilanovićMerge pull request #3216 from YosysHQ/claire/simstuff
2022-02-27 Miodrag MilanovicSupport extended aiw format
2022-02-25 Miodrag MilanovicFix for last clock edge data
2022-02-25 Claire Xenia WolfExperimental sim changes
2022-02-23 Anton Blanchardabc: Fix {I} and {P} substitution
2022-02-22 Claire XenMerge pull request #3211 from YosysHQ/micko/witness
2022-02-22 Claire XenMerge pull request #3197 from YosysHQ/claire/smtbmcfix
2022-02-21 Miodrag MilanovićMerge pull request #3203 from YosysHQ/micko/sim_ff
2022-02-21 Miodrag MilanovicFix handling of ce_over_srst
2022-02-18 Claire Xenia WolfFix cycle 0 in aiger witness co-simulation
2022-02-18 Miodrag MilanovicAdded AIGER witness file co simulation
2022-02-18 Miodrag Milanovicsimplify logic of handling flip-flops and latches
2022-02-17 Miodrag MilanovicReview cleanup
2022-02-16 Miodrag MilanovicAdd support for various ff/latch cells simulation
2022-02-11 Claire XenMerge pull request #2376 from nmoroze/clk2ff-better...
2022-02-11 Miodrag MilanovićMerge pull request #3164 from zachjs/fix-ast-warn
2022-02-11 Claire XenMerge branch 'master' into clk2ff-better-names
2022-02-11 Claire XenMerge pull request #2019 from boqwxp/glift
2022-02-10 Loftyabc9: add flow3mfs script
2022-02-07 Miodrag MilanovićMerge pull request #3185 from YosysHQ/micko/co_sim
2022-02-04 Miodrag MilanovicError detection for co-simulation
2022-02-04 Miodrag Milanovicbug fix and cleanups
2022-02-02 Miodrag MilanovićMerge pull request #3182 from yrabbit/wip-doc2
2022-02-02 YRabbitCorrect a typo in the manual
2022-02-02 Miodrag Milanovicrespect hide_internal flag
2022-02-02 Miodrag Milanovicunify cycles counting and cleanup
2022-02-02 Miodrag Milanovicadded stimulus mode and param check
2022-02-02 Scott ThibaultUpdate comment
2022-02-02 Scott ThibaultFix unextend method for signed constants
2022-01-31 Miodrag Milanovicerror when no signal found
2022-01-31 Miodrag MilanovicCleanup
2022-01-31 Miodrag MilanovicCompare bits when not all are defined
2022-01-31 Miodrag MilanovicCleanup
2022-01-31 Miodrag Milanovicmessage update
2022-01-31 Miodrag MilanovicDisplay simulation time data
2022-01-31 Miodrag MilanovicUse edges when explicit
2022-01-31 Miodrag MilanovicUpdating initial state and checks
2022-01-31 Miodrag MilanovicFix scope
2022-01-30 Marcelina Kościelnickaopt_reduce: Add $bmux and $demux optimization patterns.
2022-01-28 Marcelina KościelnickaAdd $bmux and $demux cells.
2022-01-28 Miodrag Milanoviccheck if stop before start
next