yosys.git
2 years agoverific: allow memories to be inferred in loops (vhdl)
Miodrag Milanovic [Mon, 18 Apr 2022 07:10:28 +0000 (09:10 +0200)]
verific: allow memories to be inferred in loops (vhdl)

2 years agoMerge pull request #3282 from nakengelhardt/verific_loop_rams
Miodrag Milanović [Mon, 18 Apr 2022 07:09:36 +0000 (09:09 +0200)]
Merge pull request #3282 from nakengelhardt/verific_loop_rams

verific: allow memories to be inferred in loops

2 years agoBump version
github-actions[bot] [Sat, 16 Apr 2022 00:14:57 +0000 (00:14 +0000)]
Bump version

2 years agomemory_share: Fix up mismatched address widths.
Marcelina Kościelnicka [Fri, 15 Apr 2022 13:05:08 +0000 (15:05 +0200)]
memory_share: Fix up mismatched address widths.

2 years agoopt_dff: Fix behavior on $ff with D == Q.
Marcelina Kościelnicka [Thu, 14 Apr 2022 13:08:20 +0000 (15:08 +0200)]
opt_dff: Fix behavior on $ff with D == Q.

2 years agoverific: allow memories to be inferred in loops
N. Engelhardt [Fri, 15 Apr 2022 13:10:48 +0000 (15:10 +0200)]
verific: allow memories to be inferred in loops

2 years agoBump version
github-actions[bot] [Sat, 9 Apr 2022 00:15:22 +0000 (00:15 +0000)]
Bump version

2 years agoMerge pull request #3275 from YosysHQ/micko/clk2fflogic_fix
Miodrag Milanović [Fri, 8 Apr 2022 15:38:54 +0000 (17:38 +0200)]
Merge pull request #3275 from YosysHQ/micko/clk2fflogic_fix

Use wrap_async_control_gate if ff is fine

2 years agoUse wrap_async_control_gate if ff is fine
Miodrag Milanovic [Fri, 8 Apr 2022 14:30:29 +0000 (16:30 +0200)]
Use wrap_async_control_gate if ff is fine

2 years agoMerge pull request #3273 from modwizcode/fix-build
Miodrag Milanović [Fri, 8 Apr 2022 08:08:05 +0000 (10:08 +0200)]
Merge pull request #3273 from modwizcode/fix-build

Do not enable features that require the support of compression compiled in

2 years agopass jny: flipped the defaults for the inclusion of various bits of metadata
Aki Van Ness [Thu, 17 Mar 2022 11:26:14 +0000 (07:26 -0400)]
pass jny: flipped the defaults for the inclusion of various bits of metadata

2 years agopass jny: ensured the cell collection is cleared between modules
Aki Van Ness [Thu, 10 Mar 2022 16:05:04 +0000 (11:05 -0500)]
pass jny: ensured the cell collection is cleared between modules

2 years agopass jny: fixed missing quotes around the type value for the cell sort
Aki Van Ness [Thu, 10 Mar 2022 16:04:44 +0000 (11:04 -0500)]
pass jny: fixed missing quotes around the type value for the cell sort

2 years agopass jny: fixed the backslash escape for strings
Aki Van Ness [Thu, 10 Mar 2022 16:03:51 +0000 (11:03 -0500)]
pass jny: fixed the backslash escape for strings

2 years agopass jny: removed the invalid json escapes
Aki Van Ness [Thu, 24 Feb 2022 16:19:35 +0000 (11:19 -0500)]
pass jny: removed the invalid json escapes

2 years agopass jny: added some todo comments about things that need to be done before a proper...
Aki Van Ness [Thu, 24 Feb 2022 15:39:30 +0000 (10:39 -0500)]
pass jny: added some todo comments about things that need to be done before a proper merge, but it should be enough for the PoC at the moment

2 years agopass jny: changed the constructor initializers to use parens rather than curly-braces...
Aki Van Ness [Thu, 24 Feb 2022 14:54:03 +0000 (09:54 -0500)]
pass jny: changed the constructor initializers to use parens rather than curly-braces to hopefully make GCC 4.8 happy

2 years agopass jny: fixed the string escape method to be less jank and more proper
Aki Van Ness [Thu, 17 Feb 2022 16:35:45 +0000 (11:35 -0500)]
pass jny: fixed the string escape method to be less jank and more proper

2 years agopass jny: fixed the signed output for param value output
Aki Van Ness [Thu, 17 Feb 2022 13:15:48 +0000 (08:15 -0500)]
pass jny: fixed the signed output for param value output

2 years agopass jny: added connection output
Aki Van Ness [Thu, 17 Feb 2022 13:11:58 +0000 (08:11 -0500)]
pass jny: added connection output

2 years agopass jny: added filter options for including connections, attributes, and properties
Aki Van Ness [Thu, 10 Feb 2022 16:34:43 +0000 (11:34 -0500)]
pass jny: added filter options for including connections, attributes, and properties

2 years agopass jny: large chunk of refactoring to make the JSON output more pretty and the...
Aki Van Ness [Thu, 3 Feb 2022 10:04:45 +0000 (05:04 -0500)]
pass jny: large chunk of refactoring to make the JSON output more pretty and the internals less of a spaghetti nightmare

2 years agometadata -> jny: migrated to the proper name for the pass
Aki Van Ness [Fri, 14 Jan 2022 14:41:52 +0000 (09:41 -0500)]
metadata -> jny: migrated to the proper name for the pass

2 years agopass metadata: added the machinery to write param and attributes
Aki Van Ness [Fri, 3 Dec 2021 18:44:09 +0000 (13:44 -0500)]
pass metadata: added the machinery to write param and attributes

2 years agopass metadata: removed superfluous `stringf` calls
Aki Van Ness [Fri, 3 Dec 2021 18:43:11 +0000 (13:43 -0500)]
pass metadata: removed superfluous `stringf` calls

2 years agopass metadata: some more rough work on dumping the parameters and attributes
Aki Van Ness [Thu, 18 Nov 2021 12:35:14 +0000 (07:35 -0500)]
pass metadata: some more rough work on dumping the parameters and attributes

2 years agopass metadata: fixed the MetadataWriter object initializer so GCC 4.8 is happy
Aki Van Ness [Thu, 18 Nov 2021 12:34:14 +0000 (07:34 -0500)]
pass metadata: fixed the MetadataWriter object initializer so GCC 4.8 is happy

2 years agopass metadata: added the output of parameters,
Aki Van Ness [Tue, 16 Nov 2021 20:25:14 +0000 (15:25 -0500)]
pass metadata: added the output of parameters,

it's kinda dumb at the moment and needs parsing based on type but it's a start

2 years agopass metadata: fixed some of the output formatting
Aki Van Ness [Tue, 16 Nov 2021 20:24:28 +0000 (15:24 -0500)]
pass metadata: fixed some of the output formatting

2 years agopass metadata: initial commit of the metadata pass for exporting design metadata...
Aki Van Ness [Tue, 16 Nov 2021 17:30:23 +0000 (12:30 -0500)]
pass metadata: initial commit of the metadata pass for exporting design metadata for yosys assisted tooling

2 years agoMakefile: properly conditionalize features requiring compression.
Iris Johnson [Fri, 8 Apr 2022 01:07:44 +0000 (20:07 -0500)]
Makefile: properly conditionalize features requiring compression.

2 years agoBump version
github-actions[bot] [Fri, 8 Apr 2022 00:15:41 +0000 (00:15 +0000)]
Bump version

2 years agoMerge pull request #3269 from YosysHQ/micko/fix_autotop
Catherine [Thu, 7 Apr 2022 22:40:35 +0000 (22:40 +0000)]
Merge pull request #3269 from YosysHQ/micko/fix_autotop

Reorder steps in -auto-top to fix synth command, fixes #3261

2 years agoabc: Add support for FFs with reset in -dff
Marcelina Kościelnicka [Thu, 7 Apr 2022 01:25:35 +0000 (03:25 +0200)]
abc: Add support for FFs with reset in -dff

2 years agoBump version
github-actions[bot] [Wed, 6 Apr 2022 00:14:30 +0000 (00:14 +0000)]
Bump version

2 years agosv: fix always_comb auto nosync for nested and function blocks
Zachary Snow [Tue, 22 Feb 2022 15:57:08 +0000 (16:57 +0100)]
sv: fix always_comb auto nosync for nested and function blocks

2 years agoReorder steps in -auto-top to fix synth command, fixes #3261
Miodrag Milanovic [Tue, 5 Apr 2022 12:02:37 +0000 (14:02 +0200)]
Reorder steps in -auto-top to fix synth command, fixes #3261

2 years agoNext dev cycle
Miodrag Milanovic [Tue, 5 Apr 2022 09:50:49 +0000 (11:50 +0200)]
Next dev cycle

2 years agoRelease version 0.16 yosys-0.16
Miodrag Milanovic [Tue, 5 Apr 2022 09:49:37 +0000 (11:49 +0200)]
Release version 0.16

2 years agoBump version
github-actions[bot] [Tue, 5 Apr 2022 00:13:50 +0000 (00:13 +0000)]
Bump version

2 years agoshow: Fix width labels.
Marcelina Kościelnicka [Mon, 4 Apr 2022 18:40:46 +0000 (20:40 +0200)]
show: Fix width labels.

See #3266.

2 years agoUpdate CHANGELOG and manual
Miodrag Milanovic [Mon, 4 Apr 2022 14:53:47 +0000 (16:53 +0200)]
Update CHANGELOG and manual

2 years agoMerge pull request #3265 from YosysHQ/micko/sim_improvements
Miodrag Milanović [Mon, 4 Apr 2022 07:56:56 +0000 (09:56 +0200)]
Merge pull request #3265 from YosysHQ/micko/sim_improvements

Improve sim by setting proper past D and AD signals

2 years agopast_ad initial value setting
Miodrag Milanovic [Sat, 2 Apr 2022 08:59:15 +0000 (10:59 +0200)]
past_ad initial value setting

2 years agosetInitState can be only one altering values
Miodrag Milanovic [Sat, 2 Apr 2022 08:34:11 +0000 (10:34 +0200)]
setInitState can be only one altering values

2 years agoSet past_d value for init state
Miodrag Milanovic [Sat, 2 Apr 2022 08:33:41 +0000 (10:33 +0200)]
Set past_d value for init state

2 years agoMerge pull request #3264 from jix/invalid_ff_dcinit_merge
Jannis Harder [Sat, 2 Apr 2022 10:41:28 +0000 (12:41 +0200)]
Merge pull request #3264 from jix/invalid_ff_dcinit_merge

opt_merge: Add `-keepdc` option required for formal verification

2 years agoBump version
github-actions[bot] [Sat, 2 Apr 2022 00:13:41 +0000 (00:13 +0000)]
Bump version

2 years agoopt_merge: Add `-keepdc` option required for formal verification
Jannis Harder [Fri, 1 Apr 2022 19:03:20 +0000 (21:03 +0200)]
opt_merge: Add `-keepdc` option required for formal verification

The `-keepdc` option prevents merging flipflops with dont-care bits in
their initial value, as, in general, this is not a valid transform for
formal verification.

The keepdc option of `opt` is passed along to `opt_merge` now.

2 years agoMerge pull request #3263 from YosysHQ/micko/clk2ff_init
Miodrag Milanović [Fri, 1 Apr 2022 17:37:02 +0000 (19:37 +0200)]
Merge pull request #3263 from YosysHQ/micko/clk2ff_init

Set init values for wrapped  async control signals

2 years agoSet init values for wrapped async control signals
Miodrag Milanovic [Fri, 1 Apr 2022 15:44:00 +0000 (17:44 +0200)]
Set init values for wrapped async control signals

2 years agoMerge pull request #3262 from YosysHQ/micko/verific_hiernet
Miodrag Milanović [Fri, 1 Apr 2022 10:58:09 +0000 (12:58 +0200)]
Merge pull request #3262 from YosysHQ/micko/verific_hiernet

Preserve internal wires for external nets

2 years agoPreserve internal wires for external nets
Miodrag Milanovic [Fri, 1 Apr 2022 10:07:15 +0000 (12:07 +0200)]
Preserve internal wires for external nets

2 years agoBump version
github-actions[bot] [Fri, 1 Apr 2022 01:25:19 +0000 (01:25 +0000)]
Bump version

2 years agoMerge pull request #3256 from YosysHQ/micko/aiw_multiclock
Miodrag Milanović [Thu, 31 Mar 2022 13:45:30 +0000 (15:45 +0200)]
Merge pull request #3256 from YosysHQ/micko/aiw_multiclock

Support memories in aiw and multiclock

2 years ago Support memories in aiw and multiclock
Miodrag Milanovic [Thu, 31 Mar 2022 11:10:13 +0000 (13:10 +0200)]
 Support memories in aiw and multiclock

2 years agoBump version
github-actions[bot] [Thu, 31 Mar 2022 01:15:49 +0000 (01:15 +0000)]
Bump version

2 years agoMerge pull request #3259 from YosysHQ/micko/verific_valgrind
Miodrag Milanović [Wed, 30 Mar 2022 15:29:40 +0000 (17:29 +0200)]
Merge pull request #3259 from YosysHQ/micko/verific_valgrind

Fix valgrind tests when using verific

2 years agoFix valgrind tests when using verific
Miodrag Milanovic [Wed, 30 Mar 2022 15:25:53 +0000 (17:25 +0200)]
Fix valgrind tests when using verific

2 years agoMerge pull request #3260 from YosysHQ/micko/proper_scopename
Miodrag Milanović [Wed, 30 Mar 2022 14:51:27 +0000 (16:51 +0200)]
Merge pull request #3260 from YosysHQ/micko/proper_scopename

Proper scope naming from FST

2 years agoProper scope naming from FST
Miodrag Milanovic [Wed, 30 Mar 2022 13:55:15 +0000 (15:55 +0200)]
Proper scope naming from FST

2 years agoMerge pull request #3250 from YosysHQ/micko/verific_consistent
Miodrag Milanović [Wed, 30 Mar 2022 09:03:14 +0000 (11:03 +0200)]
Merge pull request #3250 from YosysHQ/micko/verific_consistent

Import Verific netlist in consistent order

2 years agoBump version
github-actions[bot] [Wed, 30 Mar 2022 01:17:20 +0000 (01:17 +0000)]
Bump version

2 years agoMerge pull request #3258 from jix/fix-no-assertions
Miodrag Milanović [Tue, 29 Mar 2022 19:20:07 +0000 (21:20 +0200)]
Merge pull request #3258 from jix/fix-no-assertions

smtbmc: fix bmc with no assertions

2 years agosmtbmc: fix bmc with no assertions
Jannis Harder [Tue, 29 Mar 2022 18:41:50 +0000 (20:41 +0200)]
smtbmc: fix bmc with no assertions

this was broken by the `--keep-going` changes

2 years agoBump version
github-actions[bot] [Tue, 29 Mar 2022 00:16:12 +0000 (00:16 +0000)]
Bump version

2 years agokernel/mem: Only use FF init in read-first emu for mem with init
Marcelina Kościelnicka [Mon, 28 Mar 2022 14:14:56 +0000 (16:14 +0200)]
kernel/mem: Only use FF init in read-first emu for mem with init

2 years agoMerge pull request #3253 from jix/smtbmc-nodeepcopy
Jannis Harder [Mon, 28 Mar 2022 14:59:26 +0000 (16:59 +0200)]
Merge pull request #3253 from jix/smtbmc-nodeepcopy

smtbmc: Avoid unnecessary deep copies during unrolling

2 years agoMerge pull request #3247 from jix/smtbmc-keepgoing
Jannis Harder [Mon, 28 Mar 2022 14:58:41 +0000 (16:58 +0200)]
Merge pull request #3247 from jix/smtbmc-keepgoing

smtbmc `--keep-going`

2 years agoMerge pull request #3194 from Ravenslofty/abc9-flow3mfs
Lofty [Mon, 28 Mar 2022 14:51:04 +0000 (15:51 +0100)]
Merge pull request #3194 from Ravenslofty/abc9-flow3mfs

abc9: add flow3mfs script

2 years agoMerge pull request #3246 from YosysHQ/gatecat/timing-derive-fix
Lofty [Mon, 28 Mar 2022 14:50:53 +0000 (15:50 +0100)]
Merge pull request #3246 from YosysHQ/gatecat/timing-derive-fix

abc9_ops: Also derive blackboxes with timing info

2 years agogowin: Add oscillator primitives
Tim Pambor [Sun, 27 Mar 2022 15:18:13 +0000 (17:18 +0200)]
gowin: Add oscillator primitives

2 years agosmtbmc: Avoid unnecessary deep copies during unrolling
Jannis Harder [Mon, 28 Mar 2022 10:37:11 +0000 (12:37 +0200)]
smtbmc: Avoid unnecessary deep copies during unrolling

2 years agoUpdate URL to zlib
Miodrag Milanović [Mon, 28 Mar 2022 09:05:30 +0000 (11:05 +0200)]
Update URL to zlib

2 years agoProperly mark modules imported
Miodrag Milanovic [Sat, 26 Mar 2022 08:43:51 +0000 (09:43 +0100)]
Properly mark modules imported

2 years agoBump version
github-actions[bot] [Sat, 26 Mar 2022 00:13:30 +0000 (00:13 +0000)]
Bump version

2 years agoAdd some more reserve calls to RTLIL::Const
NotAFile [Fri, 25 Mar 2022 17:46:34 +0000 (18:46 +0100)]
Add some more reserve calls to RTLIL::Const

This results in a slight ~0.22% total speedup synthesizing vexriscv

2 years agoMerge pull request #3249 from YosysHQ/micko/no_startoffset
Miodrag Milanović [Fri, 25 Mar 2022 13:29:21 +0000 (14:29 +0100)]
Merge pull request #3249 from YosysHQ/micko/no_startoffset

Add -no-startoffset option to write_aiger

2 years agoImport verific netlist in consistent order
Miodrag Milanovic [Fri, 25 Mar 2022 12:44:16 +0000 (13:44 +0100)]
Import verific netlist in consistent order

2 years agoAdd -no-startoffset option to write_aiger
Miodrag Milanovic [Fri, 25 Mar 2022 07:44:45 +0000 (08:44 +0100)]
Add -no-startoffset option to write_aiger

2 years agoBump version
github-actions[bot] [Fri, 25 Mar 2022 00:13:36 +0000 (00:13 +0000)]
Bump version

2 years agoMerge pull request #3243 from nakengelhardt/fix_aiw_comment
Miodrag Milanović [Thu, 24 Mar 2022 16:25:09 +0000 (17:25 +0100)]
Merge pull request #3243 from nakengelhardt/fix_aiw_comment

smtbmc: ignore # comment lines

2 years agoyosys-smtbmc: Option to keep going after failed assertions in BMC mode
Jannis Harder [Mon, 21 Mar 2022 17:26:27 +0000 (18:26 +0100)]
yosys-smtbmc: Option to keep going after failed assertions in BMC mode

2 years agoyosys-smtbmc: Fix typo in help text, remove trailing whitespace
Jannis Harder [Mon, 21 Mar 2022 17:27:05 +0000 (18:27 +0100)]
yosys-smtbmc: Fix typo in help text, remove trailing whitespace

2 years agoabc9_ops: Also derive blackboxes with timing info
gatecat [Thu, 24 Mar 2022 14:34:34 +0000 (14:34 +0000)]
abc9_ops: Also derive blackboxes with timing info

Signed-off-by: gatecat <gatecat@ds0.me>
2 years agoignore # comment lines
N. Engelhardt [Thu, 24 Mar 2022 09:19:17 +0000 (10:19 +0100)]
ignore # comment lines

2 years agoBump version
github-actions[bot] [Wed, 23 Mar 2022 00:14:55 +0000 (00:14 +0000)]
Bump version

2 years agoUpdate abc with latest fix
Miodrag Milanovic [Tue, 22 Mar 2022 17:47:48 +0000 (18:47 +0100)]
Update abc with latest fix

2 years agoProper SigBit forming in sim
Miodrag Milanovic [Tue, 22 Mar 2022 13:43:18 +0000 (14:43 +0100)]
Proper SigBit forming in sim

2 years agoProper SigBit forming in sim
Miodrag Milanovic [Tue, 22 Mar 2022 13:22:32 +0000 (14:22 +0100)]
Proper SigBit forming in sim

2 years agoBump version
github-actions[bot] [Tue, 22 Mar 2022 00:15:19 +0000 (00:15 +0000)]
Bump version

2 years agoxilinx: Add RAMB4* blackboxes
Marcelina Kościelnicka [Mon, 21 Mar 2022 10:38:21 +0000 (11:38 +0100)]
xilinx: Add RAMB4* blackboxes

2 years agoBump version
github-actions[bot] [Sat, 19 Mar 2022 00:12:57 +0000 (00:12 +0000)]
Bump version

2 years agoMore verbose warnings
Miodrag Milanovic [Fri, 18 Mar 2022 13:47:35 +0000 (14:47 +0100)]
More verbose warnings

2 years agoMerge pull request #3236 from YosysHQ/micko/tb_initial
Miodrag Milanović [Thu, 17 Mar 2022 16:15:36 +0000 (17:15 +0100)]
Merge pull request #3236 from YosysHQ/micko/tb_initial

Recognize registers and set initial state for them in tb

2 years agoBump version
github-actions[bot] [Thu, 17 Mar 2022 00:13:12 +0000 (00:13 +0000)]
Bump version

2 years agoRecognize registers and set initial state for them in tb
Miodrag Milanovic [Wed, 16 Mar 2022 13:35:39 +0000 (14:35 +0100)]
Recognize registers and set initial state for them in tb

2 years agoUpdate sim help message.
Miodrag Milanovic [Wed, 16 Mar 2022 06:55:57 +0000 (07:55 +0100)]
Update sim help message.

2 years agoBump version
github-actions[bot] [Tue, 15 Mar 2022 01:09:43 +0000 (01:09 +0000)]
Bump version

2 years agogowin: add support for Double Data Rate primitives
YRabbit [Mon, 14 Mar 2022 21:41:30 +0000 (07:41 +1000)]
gowin: add support for Double Data Rate primitives

Signed-off-by: YRabbit <rabbit@yrabbit.cyou>