From 477aa040e1fcd08a76bbd378f59d140d4388113d Mon Sep 17 00:00:00 2001 From: Daniel Benusovich Date: Fri, 8 Mar 2019 19:09:43 -0800 Subject: [PATCH] Correct incorrect output bit size --- TLB/src/AddressEncoder.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/TLB/src/AddressEncoder.py b/TLB/src/AddressEncoder.py index c6437fc8..53e2479e 100644 --- a/TLB/src/AddressEncoder.py +++ b/TLB/src/AddressEncoder.py @@ -13,7 +13,7 @@ class AddressEncoder(): # Output self.single_match = Signal(1) self.multiple_match = Signal(1) - self.o = Signal(width) + self.o = Signal(max=width) def elaborate(self, platform=None): m = Module() -- 2.30.2