From 531739d2f18800eb2fa45d46702ca957c93c9113 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Thu, 2 May 2019 18:43:00 +0100 Subject: [PATCH] get test_mul.py running --- src/ieee754/fpmul/fmul.py | 7 +++++-- 1 file changed, 5 insertions(+), 2 deletions(-) diff --git a/src/ieee754/fpmul/fmul.py b/src/ieee754/fpmul/fmul.py index e7454384..d775671c 100644 --- a/src/ieee754/fpmul/fmul.py +++ b/src/ieee754/fpmul/fmul.py @@ -14,8 +14,11 @@ class FPMUL(FPBase): self.width = width self.in_a = FPOpIn(width) + self.in_a.data_i = Signal(width) self.in_b = FPOpIn(width) + self.in_b.data_i = Signal(width) self.out_z = FPOpOut(width) + self.out_z.data_o = Signal(width) self.states = [] @@ -52,14 +55,14 @@ class FPMUL(FPBase): with m.State("get_a"): res = self.get_op(m, self.in_a, a, "get_b") - m.d.sync += eq([a, self.in_a.ack], res) + m.d.sync += eq([a, self.in_a.ready_o], res) # ****** # gets operand b with m.State("get_b"): res = self.get_op(m, self.in_b, b, "special_cases") - m.d.sync += eq([b, self.in_b.ack], res) + m.d.sync += eq([b, self.in_b.ready_o], res) # ****** # special cases -- 2.30.2