From c5108a2f5bb0b29a96cbba9722648d22b2b22767 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Thu, 1 Apr 2021 15:32:35 +0100 Subject: [PATCH] add first cocotb Makefile --- ls180/cocotb/Makefile | 29 +++++++++++++++++++++++++++++ 1 file changed, 29 insertions(+) create mode 100644 ls180/cocotb/Makefile diff --git a/ls180/cocotb/Makefile b/ls180/cocotb/Makefile new file mode 100644 index 0000000..e80dd87 --- /dev/null +++ b/ls180/cocotb/Makefile @@ -0,0 +1,29 @@ +CURDIR=$(realpath .) +TOPDIR=$(realpath ..) + +ifeq ($(PYTHONPATH),) + PYTHONPATH := $(TOPDIR) +else + PYTHONPATH := $(TOPDIR):$(PYTHONPATH) +endif +export PYTHONPATH + +VSTDIR=$(TOPDIR)/vst_src +NSXLIBDIR=$(TOPDIR)/nsxlib +NIOLIBDIR=$(TOPDIR)/niolib +VHDL_SOURCES = \ + $(wildcard $(VSTDIR)/*.vst) \ + $(wildcard $(NSXLIBDIR)/*.vhd) \ + $(wildcard $(NIOLIBDIR)/*.vhd) +TOPLEVEL=corona +TOPLEVEL_LANG=vhdl +MODULE=test +SIM=ghdl +GPI_IMPL=vhpi +GHDL_ARGS=--std=08 +SIM_ARGS=--wave=test.ghw + +COCOTBMAKEFILESDIR=$(shell cocotb-config --makefiles) + +include $(COCOTBMAKEFILESDIR)/Makefile.inc +include $(COCOTBMAKEFILESDIR)/Makefile.sim -- 2.30.2