From c5d39ac234f9b83ce3c6b3bf5eb9f55cf5ce6345 Mon Sep 17 00:00:00 2001 From: Staf Verhaegen Date: Wed, 21 Apr 2021 19:30:54 +0200 Subject: [PATCH] Fix path for env --- c4m/nmigen/jtag/tap.py | 2 +- test/nmigen/cocotb/controller/generate.py | 2 +- test/nmigen/gen/controller/generate.py | 4 ++-- 3 files changed, 4 insertions(+), 4 deletions(-) diff --git a/c4m/nmigen/jtag/tap.py b/c4m/nmigen/jtag/tap.py index 2753063..6c290ed 100755 --- a/c4m/nmigen/jtag/tap.py +++ b/c4m/nmigen/jtag/tap.py @@ -1,4 +1,4 @@ -#!/bin/env python3 +#!/usr/bin/env python3 import os, textwrap from enum import Enum, auto diff --git a/test/nmigen/cocotb/controller/generate.py b/test/nmigen/cocotb/controller/generate.py index 16d9803..2b34aa1 100755 --- a/test/nmigen/cocotb/controller/generate.py +++ b/test/nmigen/cocotb/controller/generate.py @@ -1,4 +1,4 @@ -#!/bin/env python3 +#!/usr/bin/env python3 import os from nmigen import * diff --git a/test/nmigen/gen/controller/generate.py b/test/nmigen/gen/controller/generate.py index de8d6a9..fbd724a 100755 --- a/test/nmigen/gen/controller/generate.py +++ b/test/nmigen/gen/controller/generate.py @@ -1,4 +1,4 @@ -#!/bin/env python3 +#!/usr/bin/env python3 from nmigen import * from nmigen.back.verilog import convert from nmigen.build import Platform @@ -13,7 +13,7 @@ class DummyPlatform(Platform): def toolchain_prepare(self, fragment, name, **kwargs): raise NotImplementedError -tap = TAP(2) +tap = TAP(ir_width=2) f = open("top.v", "w") f.write(convert(tap, platform=DummyPlatform())) f.close() -- 2.30.2