From cb0c2cd8595dab6dd3aa0c219a405e5f56880412 Mon Sep 17 00:00:00 2001 From: whitequark Date: Sat, 21 Sep 2019 14:30:14 +0000 Subject: [PATCH] Fix IO_STANDARD on all iCE40 boards. SB_LVCMOS33 is not recognized by vendor tools. Use SB_LVCMOS instead. --- nmigen_boards/blackice.py | 34 +++++++++++------------ nmigen_boards/blackice_ii.py | 34 +++++++++++------------ nmigen_boards/fomu_hacker.py | 10 +++---- nmigen_boards/ice40_hx1k_blink_evn.py | 20 +++++++------- nmigen_boards/ice40_hx8k_b_evn.py | 22 +++++++-------- nmigen_boards/icebreaker.py | 40 +++++++++++++-------------- nmigen_boards/icestick.py | 16 +++++------ nmigen_boards/tinyfpga_bx.py | 8 +++--- 8 files changed, 92 insertions(+), 92 deletions(-) diff --git a/nmigen_boards/blackice.py b/nmigen_boards/blackice.py index 2bdb3d9..4e0bb5c 100644 --- a/nmigen_boards/blackice.py +++ b/nmigen_boards/blackice.py @@ -15,37 +15,37 @@ class BlackIcePlatform(LatticeICE40Platform): default_clk = "clk100" resources = [ Resource("clk100", 0, Pins("129", dir="i"), - Clock(100e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS33") + Clock(100e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS") ), - Resource("user_led", 0, Pins("71", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 1, Pins("67", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 2, Pins("68", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 3, Pins("70", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_led", 0, Pins("71", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 1, Pins("67", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 2, Pins("68", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 3, Pins("70", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), # Color aliases - Resource("user_ledb", 0, Pins("71", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_ledg", 0, Pins("67", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_ledo", 0, Pins("68", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_ledr", 0, Pins("70", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_ledb", 0, Pins("71", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_ledg", 0, Pins("67", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_ledo", 0, Pins("68", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_ledr", 0, Pins("70", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), - Resource("user_btn", 0, PinsN("63", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_btn", 1, PinsN("64", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_btn", 0, PinsN("63", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_btn", 1, PinsN("64", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), - Resource("user_sw", 0, PinsN("37", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_sw", 1, PinsN("38", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_sw", 2, PinsN("39", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_sw", 3, PinsN("41", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_sw", 0, PinsN("37", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_sw", 1, PinsN("38", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_sw", 2, PinsN("39", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_sw", 3, PinsN("41", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), UARTResource(0, rx="88", tx="85", rts="91", cts="94", - attrs=Attrs(IO_STANDARD="SB_LVCMOS33", PULLUP=1) + attrs=Attrs(IO_STANDARD="SB_LVCMOS", PULLUP=1) ), SRAMResource(0, cs="136", oe="45", we="120", a="137 138 139 141 142 42 43 44 73 74 75 76 115 116 117 118 119 78", d="135 134 130 128 125 124 122 121 61 60 56 55 52 49 48 47", - attrs=Attrs(IO_STANDARD="SB_LVCMOS33"), + attrs=Attrs(IO_STANDARD="SB_LVCMOS"), ), ] connectors = [ diff --git a/nmigen_boards/blackice_ii.py b/nmigen_boards/blackice_ii.py index 0d2f534..e74d855 100644 --- a/nmigen_boards/blackice_ii.py +++ b/nmigen_boards/blackice_ii.py @@ -15,30 +15,30 @@ class BlackIceIIPlatform(LatticeICE40Platform): default_clk = "clk100" resources = [ Resource("clk100", 0, Pins("129", dir="i"), - Clock(100e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS33") + Clock(100e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS") ), - Resource("user_led", 0, Pins("71", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 1, Pins("67", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 2, Pins("68", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 3, Pins("70", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_led", 0, Pins("71", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 1, Pins("67", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 2, Pins("68", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 3, Pins("70", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), # Color aliases - Resource("user_ledb", 0, Pins("71", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_ledg", 0, Pins("67", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_ledo", 0, Pins("68", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_ledr", 0, Pins("70", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_ledb", 0, Pins("71", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_ledg", 0, Pins("67", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_ledo", 0, Pins("68", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_ledr", 0, Pins("70", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), - Resource("user_btn", 0, PinsN("63", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_btn", 1, PinsN("64", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_btn", 0, PinsN("63", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_btn", 1, PinsN("64", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), - Resource("user_sw", 0, PinsN("37", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_sw", 1, PinsN("38", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_sw", 2, PinsN("39", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_sw", 3, PinsN("41", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_sw", 0, PinsN("37", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_sw", 1, PinsN("38", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_sw", 2, PinsN("39", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_sw", 3, PinsN("41", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), UARTResource(0, rx="88", tx="85", rts="91", cts="94", - attrs=Attrs(IO_STANDARD="SB_LVCMOS33", PULLUP=1) + attrs=Attrs(IO_STANDARD="SB_LVCMOS", PULLUP=1) ), SRAMResource(0, @@ -46,7 +46,7 @@ class BlackIceIIPlatform(LatticeICE40Platform): a="137 138 139 141 142 42 43 44 73 74 75 76 115 116 117 118 119 78", d="136 135 134 130 125 124 122 121 62 61 60 56 55 48 47 45", dm="24 28", - attrs=Attrs(IO_STANDARD="SB_LVCMOS33"), + attrs=Attrs(IO_STANDARD="SB_LVCMOS"), ), ] connectors = [ diff --git a/nmigen_boards/fomu_hacker.py b/nmigen_boards/fomu_hacker.py index 34c6b80..4a4e853 100644 --- a/nmigen_boards/fomu_hacker.py +++ b/nmigen_boards/fomu_hacker.py @@ -15,26 +15,26 @@ class FomuHackerPlatform(LatticeICE40Platform): default_clk = "clk48" resources = [ Resource("clk48", 0, Pins("F5", dir="i"), - Clock(48e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS33")), + Clock(48e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS")), - Resource("user_led", 0, PinsN("A5", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_led", 0, PinsN("A5", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), Resource("rgb_led", 0, Subsignal("r", PinsN("C5")), Subsignal("g", PinsN("B5")), Subsignal("b", PinsN("A5")), - Attrs(IO_STANDARD="SB_LVCMOS33"), + Attrs(IO_STANDARD="SB_LVCMOS"), ), Resource("usb", 0, Subsignal("d_p", Pins("A4")), Subsignal("d_n", Pins("A2")), Subsignal("pullup", Pins("D5")), - Attrs(IO_STANDARD="SB_LVCMOS33"), + Attrs(IO_STANDARD="SB_LVCMOS"), ), *SPIFlashResources(0, cs="C1", clk="D1", mosi="F1", miso="E1", - attrs=Attrs(IO_STANDARD="SB_LVCMOS33"), + attrs=Attrs(IO_STANDARD="SB_LVCMOS"), ), ] diff --git a/nmigen_boards/ice40_hx1k_blink_evn.py b/nmigen_boards/ice40_hx1k_blink_evn.py index 1504b15..215e310 100644 --- a/nmigen_boards/ice40_hx1k_blink_evn.py +++ b/nmigen_boards/ice40_hx1k_blink_evn.py @@ -15,21 +15,21 @@ class ICE40HX1KBlinkEVNPlatform(LatticeICE40Platform): default_clk = "clk3p3" resources = [ Resource("clk3p3", 0, Pins("13", dir="i"), Clock(3.3e6), - Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS33")), + Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS")), - Resource("user_led", 0, Pins("59", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 1, Pins("56", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 2, Pins("53", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 3, Pins("51", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_led", 0, Pins("59", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 1, Pins("56", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 2, Pins("53", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 3, Pins("51", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), - Resource("user_btn", 0, Pins("60"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_btn", 1, Pins("57"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_btn", 2, Pins("54"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_btn", 3, Pins("52"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_btn", 0, Pins("60"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_btn", 1, Pins("57"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_btn", 2, Pins("54"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_btn", 3, Pins("52"), Attrs(IO_STANDARD="SB_LVCMOS")), *SPIFlashResources(0, cs="49", clk="48", mosi="45", miso="46", - attrs=Attrs(IO_STANDARD="SB_LVCMOS33") + attrs=Attrs(IO_STANDARD="SB_LVCMOS") ), ] connectors = [ diff --git a/nmigen_boards/ice40_hx8k_b_evn.py b/nmigen_boards/ice40_hx8k_b_evn.py index bca53d0..ffa00ee 100644 --- a/nmigen_boards/ice40_hx8k_b_evn.py +++ b/nmigen_boards/ice40_hx8k_b_evn.py @@ -15,25 +15,25 @@ class ICE40HX8KBEVNPlatform(LatticeICE40Platform): default_clk = "clk12" resources = [ Resource("clk12", 0, Pins("J3", dir="i"), - Clock(12e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS33")), + Clock(12e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS")), - Resource("user_led", 0, Pins("C3", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), # D2 - Resource("user_led", 1, Pins("B3", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), # D3 - Resource("user_led", 2, Pins("C4", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), # D4 - Resource("user_led", 3, Pins("C5", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), # D5 - Resource("user_led", 4, Pins("A1", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), # D6 - Resource("user_led", 5, Pins("A2", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), # D7 - Resource("user_led", 6, Pins("B4", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), # D8 - Resource("user_led", 7, Pins("B5", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), # D9 + Resource("user_led", 0, Pins("C3", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), # D2 + Resource("user_led", 1, Pins("B3", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), # D3 + Resource("user_led", 2, Pins("C4", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), # D4 + Resource("user_led", 3, Pins("C5", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), # D5 + Resource("user_led", 4, Pins("A1", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), # D6 + Resource("user_led", 5, Pins("A2", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), # D7 + Resource("user_led", 6, Pins("B4", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), # D8 + Resource("user_led", 7, Pins("B5", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), # D9 UARTResource(0, rx="B10", tx="B12", rts="B13", cts="A15", dtr="A16", dsr="B14", dcd="B15", - attrs=Attrs(IO_STANDARD="SB_LVCMOS33", PULLUP=1) + attrs=Attrs(IO_STANDARD="SB_LVCMOS", PULLUP=1) ), *SPIFlashResources(0, cs="R12", clk="R11", mosi="P12", miso="P11", - attrs=Attrs(IO_STANDARD="SB_LVCMOS33") + attrs=Attrs(IO_STANDARD="SB_LVCMOS") ), ] connectors = [ diff --git a/nmigen_boards/icebreaker.py b/nmigen_boards/icebreaker.py index 9dfd950..ac4f239 100644 --- a/nmigen_boards/icebreaker.py +++ b/nmigen_boards/icebreaker.py @@ -15,15 +15,15 @@ class ICEBreakerPlatform(LatticeICE40Platform): default_clk = "clk12" resources = [ Resource("clk12", 0, Pins("35", dir="i"), - Clock(12e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS33")), + Clock(12e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS")), - Resource("user_led", 0, PinsN("11", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 1, PinsN("37", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_led", 0, PinsN("11", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 1, PinsN("37", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), # Color-specific aliases - Resource("user_ledr", 0, PinsN("11", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_ledg", 0, PinsN("37", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_ledr", 0, PinsN("11", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_ledg", 0, PinsN("37", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), - Resource("user_btn", 0, PinsN("10", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_btn", 0, PinsN("10", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")), UARTResource(0, rx="6", tx="9", @@ -32,7 +32,7 @@ class ICEBreakerPlatform(LatticeICE40Platform): *SPIFlashResources(0, cs="16", clk="15", mosi="14", miso="17", wp="12", hold="13", - attrs=Attrs(IO_STANDARD="SB_LVCMOS33") + attrs=Attrs(IO_STANDARD="SB_LVCMOS") ), ] connectors = [ @@ -46,34 +46,34 @@ class ICEBreakerPlatform(LatticeICE40Platform): # pmod_btn = plat.request("user_btn") break_off_pmod = [ Resource("user_btn", 1, Pins("9", dir="i", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")), + Attrs(IO_STANDARD="SB_LVCMOS")), Resource("user_btn", 2, Pins("4", dir="i", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")), + Attrs(IO_STANDARD="SB_LVCMOS")), Resource("user_btn", 3, Pins("10", dir="i", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")), + Attrs(IO_STANDARD="SB_LVCMOS")), Resource("user_led", 2, Pins("7", dir="o", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")), + Attrs(IO_STANDARD="SB_LVCMOS")), Resource("user_led", 3, Pins("1", dir="o", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")), + Attrs(IO_STANDARD="SB_LVCMOS")), Resource("user_led", 4, Pins("2", dir="o", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")), + Attrs(IO_STANDARD="SB_LVCMOS")), Resource("user_led", 5, Pins("8", dir="o", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")), + Attrs(IO_STANDARD="SB_LVCMOS")), Resource("user_led", 6, Pins("3", dir="o", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")), + Attrs(IO_STANDARD="SB_LVCMOS")), # Color-specific aliases Resource("user_ledr", 1, Pins("7", dir="o", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")), + Attrs(IO_STANDARD="SB_LVCMOS")), Resource("user_ledg", 1, Pins("1", dir="o", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")), + Attrs(IO_STANDARD="SB_LVCMOS")), Resource("user_ledg", 2, Pins("2", dir="o", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")), + Attrs(IO_STANDARD="SB_LVCMOS")), Resource("user_ledg", 3, Pins("8", dir="o", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")), + Attrs(IO_STANDARD="SB_LVCMOS")), Resource("user_ledg", 4, Pins("3", dir="o", conn=("pmod", 2)), - Attrs(IO_STANDARD="SB_LVCMOS33")) + Attrs(IO_STANDARD="SB_LVCMOS")) ] def toolchain_program(self, products, name): diff --git a/nmigen_boards/icestick.py b/nmigen_boards/icestick.py index f41006d..bbcad5e 100644 --- a/nmigen_boards/icestick.py +++ b/nmigen_boards/icestick.py @@ -16,13 +16,13 @@ class ICEStickPlatform(LatticeICE40Platform): default_clk = "clk12" resources = [ Resource("clk12", 0, Pins("21", dir="i"), - Clock(12e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS33")), + Clock(12e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS")), - Resource("user_led", 0, Pins("99", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 1, Pins("98", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 2, Pins("97", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 3, Pins("96", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), - Resource("user_led", 4, Pins("95", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_led", 0, Pins("99", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 1, Pins("98", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 2, Pins("97", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 3, Pins("96", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), + Resource("user_led", 4, Pins("95", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), UARTResource(0, rx="9", tx="8", rts="7", cts="4", dtr="3", dsr="2", dcd="1", @@ -31,12 +31,12 @@ class ICEStickPlatform(LatticeICE40Platform): IrDAResource(0, rx="106", tx="105", sd="107", - attrs=Attrs(IO_STANDARD="SB_LVCMOS33") + attrs=Attrs(IO_STANDARD="SB_LVCMOS") ), *SPIFlashResources(0, cs="71", clk="70", mosi="67", miso="68", - attrs=Attrs(IO_STANDARD="SB_LVCMOS33") + attrs=Attrs(IO_STANDARD="SB_LVCMOS") ), ] connectors = [ diff --git a/nmigen_boards/tinyfpga_bx.py b/nmigen_boards/tinyfpga_bx.py index 4eb6942..4e136a7 100644 --- a/nmigen_boards/tinyfpga_bx.py +++ b/nmigen_boards/tinyfpga_bx.py @@ -15,20 +15,20 @@ class TinyFPGABXPlatform(LatticeICE40Platform): default_clk = "clk16" resources = [ Resource("clk16", 0, Pins("B2", dir="i"), - Clock(16e6), Attrs(IO_STANDARD="SB_LVCMOS33")), + Clock(16e6), Attrs(IO_STANDARD="SB_LVCMOS")), - Resource("user_led", 0, Pins("B3", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS33")), + Resource("user_led", 0, Pins("B3", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")), Resource("usb", 0, Subsignal("d_p", Pins("B4", dir="io")), Subsignal("d_n", Pins("A4", dir="io")), Subsignal("pullup", Pins("A3", dir="o")), - Attrs(IO_STANDARD="SB_LVCMOS33") + Attrs(IO_STANDARD="SB_LVCMOS") ), *SPIFlashResources(0, cs="F7", clk="G7", mosi="G6", miso="H7", wp="H4", hold="J8", - attrs=Attrs(IO_STANDARD="SB_LVCMOS33") + attrs=Attrs(IO_STANDARD="SB_LVCMOS") ), ] connectors = [ -- 2.30.2