From d17f7583ed4004fdee004b1bec83f451b81d2c1d Mon Sep 17 00:00:00 2001 From: Daniel Benusovich Date: Sat, 23 Feb 2019 10:41:00 -0800 Subject: [PATCH] Moving test_cam_entry --- TLB/{ => test}/test_cam_entry.py | 4 ++++ 1 file changed, 4 insertions(+) rename TLB/{ => test}/test_cam_entry.py (97%) diff --git a/TLB/test_cam_entry.py b/TLB/test/test_cam_entry.py similarity index 97% rename from TLB/test_cam_entry.py rename to TLB/test/test_cam_entry.py index 53240fcb..07c09c48 100644 --- a/TLB/test_cam_entry.py +++ b/TLB/test/test_cam_entry.py @@ -1,3 +1,7 @@ +import sys +sys.path.append("../src") +sys.path.append("../../TestUtil") + from nmigen.compat.sim import run_simulation from test_helper import check -- 2.30.2