From ee15ea9d3218838e9c2fd932317838118d42a883 Mon Sep 17 00:00:00 2001 From: Aleksandar Kostovic Date: Sun, 17 Feb 2019 16:40:24 +0100 Subject: [PATCH] Added comment to explain a case --- src/add/fmul.py | 1 + 1 file changed, 1 insertion(+) diff --git a/src/add/fmul.py b/src/add/fmul.py index ba24e078..ad57a9ef 100644 --- a/src/add/fmul.py +++ b/src/add/fmul.py @@ -80,6 +80,7 @@ class FPMUL(FPBase): with m.If(a.is_zero()): m.next += "put_z" m.d.sync += z.nan(1) + #if a is zero return zero with m.Elif(a.is_zero()): m.next += "put_z" m.d.sync += z.zero(0) -- 2.30.2