From ee9c6c05f6769facc26c9698449001beed5db194 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Fri, 22 Mar 2019 15:18:50 +0000 Subject: [PATCH] rename to UnbufferedPipeline --- src/add/example_buf_pipe.py | 10 +++++----- src/add/test_buf_pipe.py | 11 ++++++----- 2 files changed, 11 insertions(+), 10 deletions(-) diff --git a/src/add/example_buf_pipe.py b/src/add/example_buf_pipe.py index 808d88e5..578aed8e 100644 --- a/src/add/example_buf_pipe.py +++ b/src/add/example_buf_pipe.py @@ -39,8 +39,8 @@ A useful combinatorial wrapper around stages that chains them together and then presents a Stage-API-conformant interface. - Pipeline: - -------- + UnbufferedPipeline: + ------------------ A simple stalling clock-synchronised pipeline that has no buffering (unlike BufferedPipeline). A stall anywhere along the line will @@ -446,7 +446,7 @@ class ExampleBufPipe(BufferedPipeline): BufferedPipeline.__init__(self, ExampleStage) -class Pipeline(PipelineBase): +class UnbufferedPipeline(PipelineBase): """ A simple pipeline stage with single-clock synchronisation and two-way valid/ready synchronised signalling. @@ -512,12 +512,12 @@ class Pipeline(PipelineBase): return m -class ExamplePipeline(Pipeline): +class ExamplePipeline(UnbufferedPipeline): """ an example of how to use the combinatorial pipeline. """ def __init__(self): - Pipeline.__init__(self, ExampleStage) + UnbufferedPipeline.__init__(self, ExampleStage) if __name__ == '__main__': diff --git a/src/add/test_buf_pipe.py b/src/add/test_buf_pipe.py index e037a51e..8e575dc8 100644 --- a/src/add/test_buf_pipe.py +++ b/src/add/test_buf_pipe.py @@ -4,7 +4,8 @@ from nmigen.compat.sim import run_simulation from nmigen.cli import verilog, rtlil from example_buf_pipe import ExampleBufPipe, ExampleBufPipeAdd -from example_buf_pipe import ExamplePipeline, Pipeline, ExampleStageCls +from example_buf_pipe import ExamplePipeline, UnbufferedPipeline +from example_buf_pipe import ExampleStageCls from example_buf_pipe import PrevControl, NextControl, BufferedPipeline from example_buf_pipe import StageChain @@ -362,13 +363,13 @@ class LTStageDerived(SetLessThan): return self.output -class ExampleLTPipeline(Pipeline): +class ExampleLTPipeline(UnbufferedPipeline): """ an example of how to use the combinatorial pipeline. """ def __init__(self): stage = LTStage() - Pipeline.__init__(self, stage) + UnbufferedPipeline.__init__(self, stage) class ExampleLTBufferedPipeDerived(BufferedPipeline): @@ -407,13 +408,13 @@ class ExampleAddRecordStage: 'src2': i.src2 + 1} -class ExampleAddRecordPipe(Pipeline): +class ExampleAddRecordPipe(UnbufferedPipeline): """ an example of how to use the combinatorial pipeline. """ def __init__(self): stage = ExampleAddRecordStage() - Pipeline.__init__(self, stage) + UnbufferedPipeline.__init__(self, stage) def test7_resultfn(o_data, expected, i, o): -- 2.30.2