From f87d8c78424476b6a70ef7db342857d85489cef9 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Thu, 28 Mar 2019 15:49:49 +0000 Subject: [PATCH] solve sync/comb for stage/state --- src/add/nmigen_add_experiment.py | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/add/nmigen_add_experiment.py b/src/add/nmigen_add_experiment.py index f6606761..16163e07 100644 --- a/src/add/nmigen_add_experiment.py +++ b/src/add/nmigen_add_experiment.py @@ -688,13 +688,13 @@ class FPAddAlignSingleAdd(FPState, UnbufferedPipeline): chain = StageChain([mod, a0mod, a1mod]) chain.setup(m, i) - # XXX TODO: sync for state-based - m.d.comb += self.a1o.eq(a1mod.o) + self.o = a1mod.o def process(self, i): - return self.a1o + return self.o def action(self, m): + m.d.sync += self.a1o.eq(self.process(None)) m.next = "normalise_1" -- 2.30.2