Turned the add_1 verilog state into nmigen