put inversion of write-enable into its own signal