yosys.git
2018-07-16 David Shahecp5: ECP5 synthesis fixes
2018-07-14 David Shahecp5: Adding synchronous set/reset support
2018-07-13 David Shahecp5: Add DRAM match rule
2018-07-13 David Shahecp5: Cells and mappings fixes
2018-07-13 David Shahecp5: Fixing arith_map
2018-07-13 David Shahecp5: Initial arith_map implementation
2018-07-13 David Shahecp5: Adding basic synth_ecp5 based on synth_ice40
2018-07-13 David Shahecp5: Adding DFF maps
2018-07-13 Clifford WolfMerge pull request #580 from daveshah1/ice40_nx
2018-07-13 David Shahecp5: Adding DRAM map
2018-07-13 David Shahecp5: Adding basic cells_sim and mapper for LUTs up...
2018-07-13 David Shahice40: Add CIN_CONST and CIN_SET parameters to ICESTORM_LC
2018-07-06 William D.... Gate POSIX-only signals and resource module to only...
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-29 Clifford WolfFix verific eventually handling
2018-06-29 Clifford WolfAdd verific support for eventually properties
2018-06-29 Clifford WolfAdd "verific -formal" and "read -formal"
2018-06-28 Clifford WolfAdd "read -sv -D" support
2018-06-28 Clifford WolfAdd "read -undef"
2018-06-28 Clifford WolfFix handling of signed memories
2018-06-27 William D.... Add support for 64-bit builds using msys2 environment.
2018-06-27 William D.... Use msys2-provided pthreads instead of abc's.
2018-06-22 Clifford WolfAdd YOSYS_NOVERIFIC env variable for temporarily disabl...
2018-06-21 Clifford WolfAdd simplified "read" command, enable extnets in implic...
2018-06-20 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-06-20 Clifford WolfAdd automatic verific import in hierarchy command
2018-06-20 Clifford WolfMerge pull request #572 from q3k/q3k/fix-protobuf-build
2018-06-20 Sergiusz BazanskiFix protobuf build
2018-06-19 Clifford WolfMerge pull request #571 from q3k/q3k/protobuf-backend
2018-06-19 Serge BazanskiAdd Protobuf backend
2018-06-19 Clifford WolfBe slightly less aggressive in "deminout" pass
2018-06-19 Clifford WolfMerge pull request #570 from edcote/patch-4
2018-06-19 Edmond CoteInclude module name for area summary stats
2018-06-15 Clifford WolfBugfix in liberty parser (as suggested by aiju in ...
2018-06-13 Clifford WolfAdd "synth_ice40 -json"
2018-06-11 Clifford WolfFix ice40_opt for cases where a port is connected to...
2018-06-06 Udi FinkelsteinDetect illegal port declaration, e.g input/output/inout...
2018-06-06 Clifford WolfMerge pull request #561 from udif/pr_skip_typo
2018-06-05 Udi FinkelsteinFixed typo (sikp -> skip)
2018-06-05 Udi FinkelsteinModified errors into warnings
2018-06-05 Udi Finkelsteinreg_wire_error test needs the -sv flag so it is run...
2018-06-01 Clifford WolfAdd (* gclk *) attribute support
2018-06-01 Clifford WolfAdd setundef -anyseq / -anyconst support to -undriven...
2018-06-01 Clifford WolfAdd "setundef -anyconst"
2018-05-31 Clifford WolfBugfix in handling of array instances with empty ports
2018-05-30 Clifford WolfUpdate examples/cmos/counter.ys to use "synth" command
2018-05-30 Clifford WolfMake -nordff the default in "prep"
2018-05-30 Clifford WolfUpdate ABC to git rev 6df1396
2018-05-28 Clifford WolfDisable memory_dff for initialized FFs
2018-05-28 Clifford WolfAdd some cleanup code to memory_nordff
2018-05-28 Clifford WolfAdd comment to VIPER #13453 work-around
2018-05-25 Clifford WolfFix Verific handling of single-bit anyseq/anyconst...
2018-05-24 Clifford WolfFix VerificClocking for cases where Verific generates...
2018-05-24 Clifford WolfFix verific handling of anyconst/anyseq attributes
2018-05-19 Clifford WolfMerge pull request #454 from rqou/emscripten-and-abc
2018-05-19 Robert OuForce abc to align memory to 8 bytes
2018-05-19 Robert OuModify emscripten main to mount nodefs and to run arg...
2018-05-19 Robert OuForce abc to be linked statically and without threads...
2018-05-19 Robert OuFix infinite loop in abc command under emscripten
2018-05-19 Robert OuFix reading techlibs under emscripten
2018-05-19 Robert OuAdd options to disable abc's usage of pthreads and...
2018-05-19 Robert OuAdd an option to statically link abc into yosys
2018-05-19 Robert OuMakefile: Make abc always use stdint.h
2018-05-17 Clifford WolfMerge pull request #550 from jimparis/yosys-upstream
2018-05-17 Clifford WolfMerge pull request #551 from olofk/ice40_cells_sim_ports
2018-05-17 Olof KindgrenAvoid mixing module port declaration styles in ice40...
2018-05-17 Jim ParisSupport SystemVerilog `` extension for macros
2018-05-17 Jim ParisSkip spaces around macro arguments
2018-05-15 Clifford WolfFix handling of anyconst/anyseq attrs in VHDL code...
2018-05-15 Aman GoelCorrection to -expose with setundef
2018-05-15 Clifford WolfRemove mercurial from build instructions
2018-05-15 Clifford WolfFix iopadmap for loops between tristate IO buffers
2018-05-15 Clifford WolfFix iopadmap for cases where IO pins already have buffe...
2018-05-14 Aman GoelMinor correction
2018-05-14 Aman GoelCorrections to option -expose in setundef pass
2018-05-13 Aman GoelAdd option -expose to setundef pass
2018-05-13 Clifford WolfSome cleanups in setundef.cc
2018-05-13 Clifford WolfUse $(OS) in makefile to check for Darwin
2018-05-13 Clifford WolfMerge pull request #505 from thefallenidealist/FreeBSD_...
2018-05-13 Christian KrämerAdd "#ifdef __FreeBSD__"
2018-05-13 Clifford WolfRevert "Add "#ifdef __FreeBSD__""
2018-05-12 Sergiusz BazanskiAlso interpret '&' in liberty functions
2018-05-12 Clifford WolfAdd optimization of tristate buffer with constant contr...
2018-05-12 Clifford WolfAdd "hierarchy -simcheck"
2018-05-06 Johnny Sorocilupdate README
2018-05-06 Johnny Sorocilautotest.sh: Change from /bin/bash to /usr/bin/env...
2018-05-06 Johnny SorocilEnable building on FreeBSD
2018-05-05 Clifford WolfFurther improve handling of zero-length SVA consecutive...
2018-05-05 Clifford WolfFix handling of zero-length SVA consecutive repetition
2018-05-05 Johnny SorocilAdd "#ifdef __FreeBSD__"
2018-05-04 Clifford WolfAdd ABC FAQ to "help abc"
2018-05-04 Clifford WolfAdd "yosys -e regex" for turning warnings into errors
2018-05-04 Clifford WolfMerge pull request #537 from mithro/yosys-vpr
2018-05-03 Clifford WolfReplace -ignore_redef with -[no]overwrite
2018-05-03 Dan GisselquistSupport more character literals
2018-04-30 Clifford WolfUpdate ABC to git rev f23ea8e
2018-04-30 Clifford WolfAdd "synth_intel --noiopads"
2018-04-22 Clifford WolfAdd $dlatch support to write_verilog
2018-04-18 Tim 'mithro... Improving vpr output support.
next