opt_dff: Fix behavior on $ff with D == Q.
[yosys.git] / .github /
drwxr-xr-x   ..
-rw-r--r-- 824 issue_template.md
drwxr-xr-x - workflows