test uninited FFs with const clks and fix btor script for this
[SymbiYosys.git] / .github /
drwxr-xr-x   ..
drwxr-xr-x - workflows