opt_dff: Fix behavior on $ff with D == Q.
[yosys.git] / guidelines /
drwxr-xr-x   ..
-rw-r--r-- 2603 Checklists
-rw-r--r-- 3115 CodeOfConduct
-rw-r--r-- 1090 CodingStyle
-rw-r--r-- 9059 GettingStarted
-rw-r--r-- 2242 UnitTests
-rw-r--r-- 2249 Windows