Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / tests / memories /
drwxr-xr-x   ..
-rw-r--r-- 18 .gitignore
-rw-r--r-- 4223 amber23_sram_byte_en.v
-rw-r--r-- 301 firrtl_938.v
-rw-r--r-- 602 implicit_en.v
-rw-r--r-- 617 issue00335.v
-rw-r--r-- 340 issue00710.v
-rw-r--r-- 620 no_implicit_en.v
-rw-r--r-- 512 read_arst.v
-rw-r--r-- 414 read_two_mux.v
-rwxr-xr-x 2962 run-test.sh
-rw-r--r-- 463 shared_ports.v
-rw-r--r-- 682 simple_sram_byte_en.v
-rw-r--r-- 296 trans_addr_enable.v
-rw-r--r-- 327 trans_sdp.v
-rw-r--r-- 317 trans_sp.v
-rw-r--r-- 578 wide_all.v
-rw-r--r-- 429 wide_read_async.v
-rw-r--r-- 983 wide_read_mixed.v
-rw-r--r-- 480 wide_read_sync.v
-rw-r--r-- 685 wide_read_trans.v
-rw-r--r-- 434 wide_thru_priority.v
-rw-r--r-- 461 wide_write.v