Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / tests / svtypes /
drwxr-xr-x   ..
-rw-r--r-- 27 .gitignore
-rw-r--r-- 897 enum_simple.sv
-rw-r--r-- 127 enum_simple.ys
-rw-r--r-- 184 logic_rom.sv
-rw-r--r-- 103 logic_rom.ys
-rw-r--r-- 311 multirange_array.sv
-rw-r--r-- 232 multirange_subarray_access.ys
-rwxr-xr-x 97 run-test.sh
-rw-r--r-- 138 static_cast_negative.ys
-rw-r--r-- 142 static_cast_nonconst.ys
-rw-r--r-- 1612 static_cast_simple.sv
-rw-r--r-- 144 static_cast_verilog.ys
-rw-r--r-- 135 static_cast_zero.ys
-rw-r--r-- 675 struct_array.sv
-rw-r--r-- 895 struct_simple.sv
-rw-r--r-- 2190 typedef_initial_and_assign.sv
-rw-r--r-- 818 typedef_initial_and_assign.ys
-rw-r--r-- 230 typedef_memory.sv
-rw-r--r-- 108 typedef_memory.ys
-rw-r--r-- 224 typedef_memory_2.sv
-rw-r--r-- 115 typedef_memory_2.ys
-rw-r--r-- 456 typedef_package.sv
-rw-r--r-- 525 typedef_param.sv
-rw-r--r-- 1358 typedef_scopes.sv
-rw-r--r-- 429 typedef_simple.sv
-rw-r--r-- 514 typedef_struct.sv
-rw-r--r-- 2591 typedef_struct_port.sv
-rw-r--r-- 219 typedef_struct_port.ys
-rw-r--r-- 1121 union_simple.sv