add test for yosys's $divfloor and $modfloor cells
authorJacob Lifshay <programmerjake@gmail.com>
Wed, 25 May 2022 00:51:48 +0000 (17:51 -0700)
committerJacob Lifshay <programmerjake@gmail.com>
Wed, 25 May 2022 00:51:48 +0000 (17:51 -0700)
commit3f32deb8c9a8deffeff339c5447464b4f80dea22
tree596e88fc23cee0e33c3e74a0228b824eae8d0262
parentad2c33dd373b8764eb8dff7ad8107632a0bacb88
add test for yosys's $divfloor and $modfloor cells

Depends on: https://github.com/YosysHQ/yosys/pull/3335
tests/unsorted/floor_divmod.sby [new file with mode: 0644]