test uninited FFs with const clks and fix btor script for this
drwxr-xr-x - .github
-rw-r--r-- 51 .gitignore
-rw-r--r-- 241 .readthedocs.yaml
-rw-r--r-- 830 COPYING
-rw-r--r-- 2472 Makefile
-rw-r--r-- 1620 README.md
drwxr-xr-x - docs
drwxr-xr-x - extern
drwxr-xr-x - sbysrc
drwxr-xr-x - tests