update comments
[ieee754fpu.git] / src / add / dual_add_experiment.py
index 815192c4f37ae601df346bb5f2abcdd008077dfd..7ec479f5affe95dfb5b4e6bd650b9dec248246ed 100644 (file)
@@ -52,7 +52,7 @@ class ALU:
         # join add2 a to c: add2.in_a = c
         m.d.comb += self.add2.in_a.chain_from(self.c)
         # join add2 b to add1 z: add2.in_b = add1.out_z
-        m.d.comb += self.add2.in_b.chain_from(self.add1.out_z)
+        m.d.comb += self.add2.in_b.chain_inv(self.add1.out_z)
         # join output from add2 to z: z = add2.out_z
         m.d.comb += self.z.chain_from(self.add2.out_z)
         # get at add1's stb signal