Turned the normalise_2 verilog state into nmigen
authorAleksandar Kostovic <alexandar.kostovic@gmail.com>
Thu, 14 Feb 2019 09:16:54 +0000 (10:16 +0100)
committerAleksandar Kostovic <alexandar.kostovic@gmail.com>
Thu, 14 Feb 2019 09:16:54 +0000 (10:16 +0100)
commit362b54b6e73894ccca070f53cee4b5817cf3b47f
tree4c9978910efa35eb770717951888f308d6fea929
parent32f9b3a0ea183ee193f804c946a8ef56c0d97eba
Turned the normalise_2 verilog state into nmigen
src/add/nmigen_add_experiment.py