finally got specialcases to pass through z down rest of pipeline
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 23 Mar 2019 11:28:21 +0000 (11:28 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 23 Mar 2019 11:28:21 +0000 (11:28 +0000)
commitbe75ebcbde4fa977038070a92a3285761f036566
tree9bb33d350a875765ddc6a024846b8e2d0cb0b6e0
parent9339f289fceab6f537ffb613c881e8bdf5c63e69
finally got specialcases to pass through z down rest of pipeline
src/add/nmigen_add_experiment.py