remove extra arg from old roundz function
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 14 Mar 2019 04:42:53 +0000 (04:42 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 14 Mar 2019 04:42:53 +0000 (04:42 +0000)
src/add/fmul.py
src/add/fpbase.py

index 8e3ea7d5ff4a1c86eb4ed156a13b839c91d42cbe..9ed2bf39b9bb67392c602b91484e8b8fdf47ce17 100644 (file)
@@ -127,7 +127,7 @@ class FPMUL(FPBase):
             # rounding stage
 
             with m.State("round"):
-                self.roundz(m, z, z, of.roundz)
+                #self.roundz(m, z, of.roundz)
                 m.next = "corrections"
 
             # ******
index bd20364992273638287b6aa9ad16e09eb79d4951..db95eb13e2a4ef7ae7199c6a29e05865674fc62a 100644 (file)
@@ -558,14 +558,13 @@ class FPBase:
         with m.Else():
             m.next = next_state
 
-    def roundz(self, m, z, out_z, roundz):
+    def roundz(self, m, z, roundz):
         """ performs rounding on the output.  TODO: different kinds of rounding
         """
-        #m.d.comb += out_z.copy(z) # copies input to output first
         with m.If(roundz):
-            m.d.sync += out_z.m.eq(z.m + 1) # mantissa rounds up
+            m.d.sync += z.m.eq(z.m + 1) # mantissa rounds up
             with m.If(z.m == z.m1s): # all 1s
-                m.d.sync += out_z.e.eq(z.e + 1) # exponent rounds up
+                m.d.sync += z.e.eq(z.e + 1) # exponent rounds up
 
     def corrections(self, m, z, next_state):
         """ denormalisation and sign-bug corrections