bug 1220: add a few more slides with examples
authorCesar Strauss <cestrauss@gmail.com>
Tue, 13 Feb 2024 13:43:27 +0000 (10:43 -0300)
committerCesar Strauss <cestrauss@gmail.com>
Tue, 13 Feb 2024 14:03:10 +0000 (11:03 -0300)
commit1f429eeba125e65ba4649045196d043a4acac31d
tree892fdcb33e82ecebf470352d3f7b799a67bdaa83
parent659e698716659496567b766f2b47131459e0da6c
bug 1220: add a few more slides with examples

I did a practice run of the talk, and turns out I still had time to
show some more examples.
Added: verification of memories, streams and partitioned SIMD
conferences/fosdem2024/fosdem2024_formal/.gitignore
conferences/fosdem2024/fosdem2024_formal/Makefile
conferences/fosdem2024/fosdem2024_formal/formal.md
conferences/fosdem2024/fosdem2024_formal/memory.dia [new file with mode: 0644]
conferences/fosdem2024/fosdem2024_formal/states_input.dia
conferences/fosdem2024/fosdem2024_formal/stream.dia [new file with mode: 0644]
conferences/fosdem2024/fosdem2024_formal/sum.dia [new file with mode: 0644]