Fully initialize FPU buses when FPU is disabled
authorAnton Blanchard <anton@linux.ibm.com>
Sun, 13 Dec 2020 05:01:45 +0000 (16:01 +1100)
committerAnton Blanchard <anton@ozlabs.org>
Sun, 13 Dec 2020 05:03:58 +0000 (16:03 +1100)
commit659be2780ff2026c851b80d823b9238f8fb92465
tree3507301bc819f92b244252574db07be8f73f4328
parent081dc64d39a150677ab37e711a5bfff980370bb3
Fully initialize FPU buses when FPU is disabled

Some of the bits in the FPU buses end up as z state. Yosys
flags them, so we may as well clean it up.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
common.vhdl
core.vhdl